The search functionality is under construction.
The search functionality is under construction.

Keyword Search Result

[Keyword] SI(16314hit)

10841-10860hit(16314hit)

  • Moment Computations of Lumped Coupled RLC Trees with Applications to Estimating Crosstalk Noise

    Herng-Jer LEE  Chia-Chi CHU  Wu-Shiung FENG  

     
    PAPER-Parasitics and Noise

      Vol:
    E86-A No:12
      Page(s):
    2952-2964

    A novel method is presented to compute moments of high-speed VLSI interconnects, which are modeled as coupled RLC trees. Recursive formulae of moments of coupled RC trees are extended to those for coupled RLC trees by considering both self inductances and mutual inductances. Analytical formulae for voltage moments at each node are derived explicitly. The formulae can be efficiently used for estimating delay and crosstalk noise. The inductive crosstalk noise waveform can be accurately and efficiently estimated using the moment computation technique in conjunction with the projection-based order reduction method. Fundamental aspects of the proposed approach are described in details. Experimental results show the increased accuracy of the proposed method over that of the traditional ones.

  • Impact of Internal and External Software Faults on the Linux Kernel

    Tahar JARBOUI  Jean ARLAT  Yves CROUZET  Karama KANOUN  Thomas MARTEAU  

     
    PAPER-Dependable Software

      Vol:
    E86-D No:12
      Page(s):
    2571-2578

    The application of fault injection in the context of dependability benchmarking is far from being straightforward. One decisive issue to be addressed is to what extent injected faults are representative of the considered faults. This paper proposes an approach to analyze the effects of real and injected faults.

  • A Time-Slot Assignment Scheme in TD-CDMA/TDD Systems

    Ho-Shin CHO  Young Kil KWAG  

     
    LETTER-Wireless Communication Technology

      Vol:
    E86-B No:12
      Page(s):
    3622-3625

    We propose a mathematical model to analyze the performance of TD-CDMA/TDD systems in terms of call blocking probability and then find the optimum time-slot switching-point at the smallest call blocking probability considering asymmetrical traffic load distribution for various kinds of service applications.

  • Statistical Gate-Delay Modeling with Intra-Gate Variability

    Kenichi OKADA  Kento YAMAOKA  Hidetoshi ONODERA  

     
    PAPER-Parasitics and Noise

      Vol:
    E86-A No:12
      Page(s):
    2914-2922

    This paper proposes a model to calculate statistical gate-delay variation caused by intra-chip and inter-chip variabilities. The variation of each gate delay directly influences the circuit-delay variation, so it is important to characterize each gate-delay variation accurately. Every transistor in a gate affects transient characteristics of the gate, so it is indispensable to consider an intra-gate variability for the modeling of gate-delay variation. This effect is not captured in a statistical delay analysis reported so far. Our model considers the intra-gate variability by sensitivity constants. We evaluate our modeling accuracy, and we show some simulated results of a circuit delay variation.

  • An Efficient Method for System-Level Exploration of Global Optimum in a Parameterized ASIP Design

    Yeong-Geol KIM  Tag-Gon KIM  

     
    LETTER-VLSI Design Technology and CAD

      Vol:
    E86-A No:12
      Page(s):
    3297-3302

    This paper proposes an efficient method for design space exploration of the global optimum configuration for parameterized ASIPs. The method not only guarantees the optimum configuration, but also provides robust speedup for a wide range of processor architectures such as SoC, ASIC as well as ASIP. The optimization procedure within this method takes a two-steps approach. Firstly, design parameters are partitioned into clusters of inter-dependent parameters using parameter dependency information. Secondly, parameters are optimized for each cluster, the results of which are merged for global optimum. In such optimization, inferior configurations are extensively pruned with a detailed optimality mapping between dependent parameters. Experimental results with mediabench applications show an optimization speedup of 4.1 times faster than the previous work on average, which is significant improvement for practical use.

  • Approximation Formula Approach for the Efficient Extraction of On-Chip Mutual Inductances

    Atsushi KUROKAWA  Takashi SATO  Hiroo MASUDA  

     
    PAPER-Parasitics and Noise

      Vol:
    E86-A No:12
      Page(s):
    2933-2941

    We present a new and efficient approach for extracting on-chip mutual inductances of VLSI interconnects by applying approximation formulae. The equations are based on the assumption of filaments or bars of finite width and zero thickness and are derived through Taylor's expansion of the exact formula for mutual inductance between filaments. Despite the assumption of uniform current density in each of the bars, the model is sufficiently accurate for the interconnections of current and future LSIs because the skin and proximity effects do not affect most wires. Expression of the equations in polynomial form provides a balance between accuracy and computational complexity. These equations are mapped according to the geometric structures for which they are most suitable in minimizing the runtime of inductance calculation while retaining the required accuracy. Within geometrical constraints, the wires are of arbitrary specification. Results of a comprehensive evaluation based on the ITRS-specified global wiring structure for 2003 shows that the inductance values were extracted by using the proposed approach, and they were within several percent of the values obtained by using commercial three-dimensional (3-D) field solvers. The efficiency of the proposed approach is also demonstrated by extraction from a real layout design that has 300-k interconnecting segments.

  • An Adaptive Array Antenna Based on the IQ-Division Bandpass Sampling

    Shinya SASAKI  Tetsuki TANIGUCHI  Yoshio KARASAWA  

     
    PAPER

      Vol:
    E86-B No:12
      Page(s):
    3483-3490

    In this paper, as an important technology for the software-defined radio, a novel scheme of adaptive array antenna utilizing bandpass sampling technique is proposed. For adaptive signal processing, it is necessary to convert the radio frequency signal received by the antenna that is given by real number into baseband region, i.e., complex number region. Then, the method for dividing the bandpass sampled signal to in-phase and quadrature components is analyzed. The sampling scheme is called the IQ-division bandpass sampling. An adaptive array antenna based on the IQ-division bandpass sampling is characterized by the signal processing at the bandpass sampled signal stage, namely, intermediate frequency stage, not baseband. Finally, we will confirm the validity of the proposed scheme through an experiment in a radio anechoic chamber.

  • Translation for Constraint Descriptions into a Colored Petri Net to Analyze Object Migration Behavior

    Hideki SATO  

     
    PAPER-Databases

      Vol:
    E86-D No:12
      Page(s):
    2731-2742

    In databases based on a multi-aspects object data model whcih enables multiple aspects of a real-world entity to be represented and to be acquired/lost dynamically, Object Migration (OM) updating membership relationships between an object and classes occurs, as the properties of the object evolve in its lifetime. We have proposed an OM behavior modeling framework using Colored Petri Nets (CPN) to analyze OM behavior. Based on the proposed framework, this paper presents a technique for constructing OM behavior models from OM constraint descriptions and class schemas as its input. The presented technique makes it easy to construct consistent and complete OM behavior models, since OM constraints are described in a simple, modular, and declarative form.

  • Analysis and Design of a Single-Stage Single-Switch Power-Factor-Corrected Converter with Direct Power Transfer

    Dah-Chuan LU  Ki-Wai CHENG  Yim-Shu LEE  

     
    PAPER-Energy in Electronics Communications

      Vol:
    E86-B No:12
      Page(s):
    3606-3613

    By adding an auxiliary transformer to a single-stage single-switch power-factor-corrected converter (S4PFCC), the storage capacitor voltage and its range of voltage change against line voltage change are reduced. In addition, this transformer provides a direct power transfer path for input line to output load to increase the conversion efficiency. High power factor is maintained due to the elimination of dead angle of the input current. This paper presents detailed analysis and optimal design of a discontinuous conduction mode (DCM) boost-flyback S4PFCC with the auxiliary transformer. Experimental results for a 15 V/60 W prototype and with comparison to a S4PFCC without the auxiliary transformer are given to show the proposed approach effective.

  • Adaptability Check during Software Installation in Software Defined Radio

    Yasuo SUZUKI  Hiroshi HARADA  Kazuhiro UEHARA  Teruya FUJII  Yukio YOKOYAMA  Koji ODA  Ryoichi HIDAKA  

     
    PAPER

      Vol:
    E86-B No:12
      Page(s):
    3401-3407

    This paper presents the summarized achievements of "Study Group on Software Technology for Radio Equipment" held at TELEC from April 2000 to March 2003. The Study Group specified the essential issues on Software Defined Radio (SDR), and discussed desirable methods to evaluate conformity to technical regulations in radios that can change RF characteristics only by changing software. The biggest objective in SDR is to build the architecture to allow users to install software exclusively in the combination of hardware and software that have passed the certification test. The Study Group has reached a solution by introducing the idea of "tally." This paper explains the concept of tally, and proposes two types of systems to use tallies in checking adaptability in combinations of hardware and software.

  • Design of sfl2vl: SFL to Verilog Converter Based on an LR-Parser

    Naohiko SHIMIZU  

     
    LETTER-Design Methodology

      Vol:
    E86-A No:12
      Page(s):
    3225-3229

    This paper presents the implementation of sfl2vl, a new free tool for SFL to Verilog conversion. Also this paper will discuss the performance of the conversion and the logic simulation of the sfl2vl+Icarus Verilog (free-ware compiler) versus PARTHENON with some MPU designs.

  • A Novel Timing-Driven Global Routing Algorithm Considering Coupling Effects for High Performance Circuit Design

    Jingyu XU  Xianlong HONG  Tong JING  Yici CAI  Jun GU  

     
    PAPER-Place and Routing

      Vol:
    E86-A No:12
      Page(s):
    3158-3167

    As the CMOS technology enters the very deep submicron era, inter-wire coupling capacitance becomes the dominant part of load capacitance. The coupling effects have brought new challenges to routing algorithms on both delay estimation and optimization. In this paper, we propose a timing-driven global routing algorithm with consideration of coupling effects. Our two-phase algorithm based on timing-relax method includes a heuristic Steiner tree algorithm to guarantee the timing performance of the initial solution and an optimization algorithm based on coupling-effect-transference. Experimental results are given to demonstrate the efficiency and accuracy of the algorithm.

  • A Hardware/Software Partitioning Algorithm for Processor Cores with Packed SIMD-Type Instructions

    Nozomu TOGAWA  Koichi TACHIKAKE  Yuichiro MIYAOKA  Masao YANAGISAWA  Tatsuo OHTSUKI  

     
    LETTER-Design Methodology

      Vol:
    E86-A No:12
      Page(s):
    3218-3224

    This letter proposes a new hardware/software partitioning algorithm for processor cores with SIMD instructions. Given a compiled assembly code including SIMD instructions and a timing constraint, the proposed algorithm synthesizes an area-optimized processor core with a new assembly code. Firstly, we assume for each operation type a super SIMD functional unit which can execute all the SIMD instructions. Secondly we reduce a SIMD instruction or "sub-function" of each super functional unit, one by one, while the timing constraint is satisfied. At the same time, we update the assembly code so that it can run on the new processor configuration. By repeating this process, we finally find SIMD functional unit configuration as well as a processor core architecture. The promising experimental results are also shown.

  • Irredundant Low Power Address Bus Encoding Techniques Based on Adaptive Codebooks

    Satoshi KOMATSU  Masahiro FUJITA  

     
    PAPER-Power Optimization

      Vol:
    E86-A No:12
      Page(s):
    3001-3008

    The power dissipation at the off-chip bus has become a significant part of the overall power dissipation in micro-processor based digital systems. This paper presents irredundant address bus encoding methods which reduce signal transitions on the instruction address buses by using adaptive codebook methods. These methods are based on the temporal locality and spatial locality of instruction address. Since applications tend to JUMP/BRANCH to limited sets of addresses, proposed encoding methods assign the least signal transition codes to the addresses of JUMP/BRANCH operations in the past. In addition, our methods can be easily applicable for conventional digital systems since they are irredundant encoding methods. Our encoding methods reduce the signal transitions on the instruction address buses, which results in the reduction of total power dissipation of digital systems. Experimental results show that our methods can reduce the signal transition by an average of 88%.

  • Constructing c-Secure CRT Codes Using Polynomials over Finite Fields

    Mira KIM  Junji SHIKATA  Hirofumi MURATANI  Hideki IMAI  

     
    PAPER-Information Security

      Vol:
    E86-A No:12
      Page(s):
    3259-3266

    In this paper, we deal with c-secure codes in a fingerprinting scheme, which encode user ID to be embedded into the contents. If a pirate copy appears, c-secure codes allow the owner of the contents to trace the source of the illegal redistribution under collusion attacks. However, when dealing in practical applications, most past proposed codes are failed to obtain a good efficiency, i.e. their codeword length are too large to be embedded into digital contents. In this paper, we propose a construction method of c-secure CRT codes based on polynomials over finite fields and it is shown that the codeword length in our construction is shorter than that of Muratani's scheme. We compare the codeword length of our construction and that of Muratani's scheme by numerical experiments and present some theoretical results which supports the results obtained by numerical experiments. As a result, we show that our construction is especially efficient in respect to a large size of any coalition c. Furthermore, we discuss the influence of the random error on the traceability and formally define the Weak IDs in respect to our construction.

  • Low Complexity Multiplexer-Based Parallel Multiplier of GF(2m)

    Gi-Young BYUN  Heung-Soo KIM  

     
    PAPER-Computer System Element

      Vol:
    E86-D No:12
      Page(s):
    2684-2690

    Two operations, polynomial multiplication and modular reduction, are newly induced by the properties of the modified Booth's algorithm and irreducible all one polynomials, respectively. A new and effective methodology is hereby proposed for computing multiplication over a class of fields GF(2m) using the two operations. Then a low complexity multiplexer-based multiplier is presented based on the aforementioned methodology. Our multiplier consists of m 2-input AND gates, an (m2 + 3m - 4)/2 2-input XOR gates, and m(m - 1)/2 4 1 multiplexers. For the detailed estimation of the complexity of our multiplier, we will expand this argument into the transistor count, using a standard CMOS VLSI realization. The compared results show that our work is advantageous in terms of circuit complexity and requires less delay time compared to previously reported multipliers. Moreover, our architecture is very regular, modular and therefore, well-suited for VLSI implementation.

  • Counter Tree Diagrams: A Unified Framework for Analyzing Fast Addition Algorithms

    Jun SAKIYAMA  Naofumi HOMMA  Takafumi AOKI  Tatsuo HIGUCHI  

     
    PAPER-IP Design

      Vol:
    E86-A No:12
      Page(s):
    3009-3019

    This paper presents a unified representation of fast addition algorithms based on Counter Tree Diagrams (CTDs). By using CTDs, we can describe and analyze various adder architectures in a systematic way without using specific knowledge about underlying arithmetic algorithms. Examples of adder architectures that can be handled by CTDs include Redundant-Binary (RB) adders, Signed-Digit (SD) adders, Positive-Digit (PD) adders, carry-save adders, parallel counters (e.g., 3-2 counters and 4-2 counters) and networks of such basic adders/counters. This paper also discusses the CTD-based analysis of carry-propagation-free adders using various number representations.

  • Critical Path Selection for Deep Sub-Micron Delay Test and Timing Validation

    Jing-Jia LIOU  Li-C. WANG  Angela KRSTIĆ  Kwang-Ting (Tim) CHENG  

     
    PAPER-Timing Verification and Test Generation

      Vol:
    E86-A No:12
      Page(s):
    3038-3048

    Critical path selection is an indispensable step for AC delay test and timing validation. Traditionally, this step relies on the construction of a set of worse-case paths based upon discrete timing models. However, the assumption of discrete timing models can be invalidated by timing defects and process variation in the deep sub-micron domain, which are often continuous in nature. As a result, critical paths defined in a traditional timing analysis approach may not be truly critical in reality. In this paper, we propose using a statistical delay evaluation framework for estimating the quality of a path set. Based upon the new framework, we demonstrate how the traditional definition of a critical path set may deviate from the true critical path set in the deep sub-micron domain. To remedy the problem, we discuss improvements to the existing path selection strategies by including new objectives. We then compare statistical approaches with traditional approaches based upon experimental analysis of both defect-free and defect-injected cases.

  • Reliability of Athermal Fiber Bragg Grating Component with Negative Thermal Expansion Ceramic Substrate

    Satoru YOSHIHARA  Takahiro MATANO  Hiroshi OOSHIMA  Akihiko SAKAMOTO  

     
    LETTER-Optoelectronics

      Vol:
    E86-C No:12
      Page(s):
    2501-2503

    A negative thermal expansion ceramic substrate and an athermal fiber Bragg grating component with the substrate were subjected to reliability tests. We confirmed that the component has adequate durability for use as optical filters in the WDM system, under test conditions of damp heat, low temperature, mechanical shock and vibration. (50 words)

  • Top-Down Retargetable Framework with Token-Level Design for Accelerating Simulation Speed of Processor Architecture

    Jun Kyoung KIM  Ho Young KIM  Tag Gon KIM  

     
    PAPER-Simulation Accelerator

      Vol:
    E86-A No:12
      Page(s):
    3089-3098

    This paper proposes a retargetable framework for rapid evaluation of processor architecture, which represents abstraction levels of architecture in a hierarchical manner. The basis for such framework is a hierarchical architecture description language, called XR2, which describes architecture at three abstraction levels: instruction set architecture, pipeline architecture and micro-architecture. In addition, a token-level computational model for fast pipeline simulation is proposed, which considers the minimal information required for the given performance measurement of the pipeline. Experimental result shows that token-level simulation is faster than the traditional cycle-accurate one by 50% to 80% in pipeline architecture evaluation.

10841-10860hit(16314hit)