The search functionality is under construction.
The search functionality is under construction.

Performance Evaluation of a Translation Look-Aside Buffer for Highly Integrated Microprocessors

Norio UTSUMI, Akifumi NAGAO, Tetsuro YOSHIMOTO, Ryuichi YAMAGUCHI, Jiro MIYAKE, Hisakazu EDAMATSU

  • Full Text Views

    0

  • Cite this

Summary :

This paper describes the performance evaluation of the Translation Look-aside Buffer (TLB) for highly integrated microprocessors, especially concerning the TLB in the SPARC Reference MMU specification. The analysis covers configurations, the number of entries, and replacement algorithms for the instruction TLB and the data TLB, which are assumed to be practically integrated on one die. We also present performance improvement using a Page Table Cache (PTC). We evaluate some types of TLB configurations with software simulation and excute the Systems Performance Evaluation Cooperative (SPEC) programs.

Publication
IEICE TRANSACTIONS on Electronics Vol.E75-C No.10 pp.1202-1211
Publication Date
1992/10/25
Publicized
Online ISSN
DOI
Type of Manuscript
Special Section PAPER (Special Issue on Microprocessors)
Category
RISC Technologies

Authors

Keyword