The search functionality is under construction.

IEICE TRANSACTIONS on Information

Novel Chip Stacking Methods to Extend Both Horizontally and Vertically for Many-Core Architectures with ThrouChip Interface

Hiroshi NAKAHARA, Tomoya OZAKI, Hiroki MATSUTANI, Michihiro KOIBUCHI, Hideharu AMANO

  • Full Text Views

    0

  • Cite this

Summary :

The increase of recent non-recurrent engineering cost (design, mask and test cost) have made large System-on-Chip (SoC) difficult to develop especially with advanced technology. We radically explore an approach for cheap and flexible chip stacking by using Inductive coupling ThruChip Interface (TCI). In order to connect a large number of small chips for building a large scale system, novel chip stacking methods called the linear stacking and staggered stacking are proposed. They enable the system to be extended to x or/and y dimensions, not only to z dimension. Here, a novel chip staking layout, and its deadlock-free routing design for the case using single-core chips and multi-core chips are shown. The network with 256 nodes formed by the proposed stacking improves the latency of 2D mesh by 13.8% and the performance of NAS Parallel Benchmarks by 5.4% on average compared to that of 2D mesh.

Publication
IEICE TRANSACTIONS on Information Vol.E99-D No.12 pp.2871-2880
Publication Date
2016/12/01
Publicized
2016/08/24
Online ISSN
1745-1361
DOI
10.1587/transinf.2016PAP0033
Type of Manuscript
Special Section PAPER (Special Section on Parallel and Distributed Computing and Networking)
Category
Architecture

Authors

Hiroshi NAKAHARA
  Keio University
Tomoya OZAKI
  Keio University
Hiroki MATSUTANI
  Keio University
Michihiro KOIBUCHI
  National Institute of Informatics
Hideharu AMANO
  Keio University

Keyword