The search functionality is under construction.

IEICE TRANSACTIONS on Information

An Effective and Sensitive Scan Segmentation Technique for Detecting Hardware Trojan

Fakir Sharif HOSSAIN, Tomokazu YONEDA, Michiko INOUE

  • Full Text Views

    0

  • Cite this

Summary :

Due to outsourcing of numerous stages of the IC manufacturing process to different foundries, the security risk, such as hardware Trojan becomes a potential threat. In this paper, we present a layout aware localized hardware Trojan detection method that magnifies the detection sensitivity for small Trojan in power-based side-channel analysis. A scan segmentation approach with a modified launch-on-capture (LoC) transition delay fault test pattern application technique is proposed so as to maximize the dynamic power consumption of any target region. The new architecture allows activating any target region and keeping others quiet, which reduces total circuit toggling activity. We evaluate our approach on ISCAS89 benchmark and two practical circuits to demonstrate its effectiveness in side-channel analysis.

Publication
IEICE TRANSACTIONS on Information Vol.E100-D No.1 pp.130-139
Publication Date
2017/01/01
Publicized
2016/10/20
Online ISSN
1745-1361
DOI
10.1587/transinf.2016EDP7246
Type of Manuscript
PAPER
Category
Dependable Computing

Authors

Fakir Sharif HOSSAIN
  Nara Institute of Science and Technology
Tomokazu YONEDA
  Nara Institute of Science and Technology
Michiko INOUE
  Nara Institute of Science and Technology

Keyword