The search functionality is under construction.

Keyword Search Result

[Keyword] placement constraints(1hit)

1-1hit
  • A Design Method for Designing Asynchronous Circuits on Commercial FPGAs Using Placement Constraints

    Tatsuki OTAKE  Hiroshi SAITO  

     
    PAPER

      Vol:
    E103-A No:12
      Page(s):
    1427-1436

    In this paper, we propose a design method to design asynchronous circuits with bundled-data implementation on commercial Field Programmable Gate Arrays using placement constraints. The proposed method uses two types of placement constraints to reduce the number of delay adjustments to fix timing violations and to improve the performance of the bundled-data implementation. We also propose a floorplan algorithm to reduce the control-path delays specific to the bundled-data implementation. Using the proposed method, we could design the asynchronous circuits whose performance is close to and energy consumption is small compared to the synchronous counterparts with less delay adjustment.