The search functionality is under construction.

IEICE TRANSACTIONS on Fundamentals

Leakage-Aware TSV-Planning with Power-Temperature-Delay Dependence in 3D ICs

Kan WANG, Sheqin DONG, Yuchun MA, Yu WANG, Xianlong HONG, Jason CONG

  • Full Text Views

    0

  • Cite this

Summary :

Due to the increased power density and lower thermal conductivity, 3D ICs are faced with heat dissipation and temperature problem seriously. TSV (Through-Silicon-Via) has been shown as an effective way to help heat removal, but they introduce several issues related with cost and reliability as well. Previous researches of TSV planning didn't pay much attention to the impact of leakage power, which will bring in error on estimation of temperature, TSV number and also critical path delay. The leakage-temperature-delay dependence can potentially negate the performance improvement of 3D designs. In this paper, we analyze the impact of leakage power on TSV planning and integrate leakage-temperature-delay dependence into thermal via planning of 3D ICs. A weighted via insertion approach, considering the influence on both module delay and wire delay, is proposed to achieve the best balance among temperature, via number and performance. Experiment results show that, with leakage power and resource constraint considered, temperature and the required via number can be quite different, and the weighted TSV insertion approach with iterative process can obtain the trade-off between different factors including thermal, power consumption, via number and performance.

Publication
IEICE TRANSACTIONS on Fundamentals Vol.E94-A No.12 pp.2490-2498
Publication Date
2011/12/01
Publicized
Online ISSN
1745-1337
DOI
10.1587/transfun.E94.A.2490
Type of Manuscript
Special Section PAPER (Special Section on VLSI Design and CAD Algorithms)
Category
Physical Level Design

Authors

Keyword