The search functionality is under construction.

Keyword Search Result

[Keyword] design verification(11hit)

1-11hit
  • Circuit Description and Design Flow of Superconducting SFQ Logic Circuits Open Access

    Kazuyoshi TAKAGI  Nobutaka KITO  Naofumi TAKAGI  

     
    INVITED PAPER

      Vol:
    E97-C No:3
      Page(s):
    149-156

    Superconducting Single-Flux-Quantum (SFQ) devices have been paid much attention as alternative devices for digital circuits, because of their high switching speed and low power consumption. For large-scale circuit design, the role of computer-aided design environment is significant. As the characteristics of the SFQ devices are different from conventional devices, a new design environment is required. In this paper, we propose a new timing-aware circuit description method which can be used for SFQ circuit design. Based on the description and the dedicated algorithms we have been developing for SFQ logic circuit design, we propose an integrated design flow for SFQ logic circuits. We have designed a circuit using our developed design tools along with the design flow and demonstrated the correct operation.

  • Highly Reliable Embedded Software Development Using Advanced Software Technologies

    Takuya KATAYAMA  Tatsuo NAKAJIMA  Taiichi YUASA  Tomoji KISHI  Shin NAKAJIMA  Shuichi OIKAWA  Masahiro YASUGI  Toshiaki AOKI  Mitsutaka OKAZAKI  Seiji UMATANI  

     
    INVITED PAPER

      Vol:
    E88-D No:6
      Page(s):
    1105-1116

    We have launched "Highly-Reliable Embedded Software Development" Project, held as a part of e-Society Project, supported by Ministry of Education, Culture, Sports, Science and Technology (MEXT), Japan. The aim of this project is to enable the industry to produce highly reliable and advanced software by introducing latest software technologies into embedded software development. In this paper, we introduce the overview of the projects and our activities and results so far.

  • Symbolic Simulation Heuristics for High-Level Hardware Descriptions Including Uninterpreted Functions

    Kiyoharu HAMAGUCHI  

     
    LETTER

      Vol:
    E87-D No:3
      Page(s):
    637-641

    This letter handles symbolic simulation for high-level hardware design descriptions including uninterpreted functions. Two new heuristics are introduced, which are named "symbolic function table" and "synchronization". In the experiment, the equivalence of a hardware/software codesign was checked up to a given finite number of cycles, which is composed of a behavioral design, that is, a small DSP program written in C, and its register-transfer-level implementation, a VLIW architecture with an assembly program. Our symbolic simulator succeeded in checking the equivalence of the two descriptions which were not tractable without the heuristics.

  • Verifying Signal-Transition Consistency of High-Level Designs Based on Symbolic Simulation

    Kiyoharu HAMAGUCHI  Hidekazu URUSHIHARA  Toshinobu KASHIWABARA  

     
    PAPER-Verification

      Vol:
    E85-D No:10
      Page(s):
    1587-1594

    This paper deals with formal verification of high-level designs, in particular, symbolic comparison of register-transfer-level descriptions and behavioral descriptions. We use state machines extended by quantifier-free first-order logic with equality, as models of those descriptions. We cannot adopt the classical notion of equivalence for state machines, because the signals in the corresponding outputs of such two descriptions do not change in the same way. This paper defines a new notion of consistency based on signal-transitions of the corresponding outputs, and proposes an algorithm for checking consistency of those descriptions, up to a limited number of steps from initial states. As an example of high-level designs, we take a simple hardware/software codesign. A C program for digital signal processing called PARCOR filter was compared with its corresponding design given as a register-transfer-level description, which is composed of a VLIW architecture and assembly code. Since this example terminates within approximately 4500 steps, symbolic exploration of a finite number of steps is sufficient to verify the descriptions. Our prototype verifier succeeded in the verification of this example in 31 minutes.

  • Incremental CTL Model Checker for Fair States

    Victor R. L. SHEN  

     
    LETTER-Computer Hardware and Design

      Vol:
    E82-D No:7
      Page(s):
    1126-1130

    CTL (Computation Tree Logic) model checking is a formal method for design verification that checks whether the behavior of the verified system is contained in that of the requirements specification. If this check doesn't pass, the CTL model checker generates a subset of fair states which belongs to the system but not to the specification. In this letter, we present an incremental method which successively modifies the latest verification result each time the design is modified. Our incremental algorithm allows the designer to make changes in terms of addition or subtraction of fair CTL formulas, or fairness constraints on acceptable behavior from the problem statement. Then, these changes are adopted to update the set of fair states computed earlier. Our incremental algorithm is shown to be better than the current non-incremental techniques for CTL model checking. Furthermore, a conclusion supported by the experimental results is presented herein.

  • TPF: An Effective Method for Verifying Synchronous Circuits with Induction-Based Provers

    Kazuko TAKAHASHI  Hiroshi FUJITA  

     
    PAPER-Computer Hardware and Design

      Vol:
    E81-D No:1
      Page(s):
    12-18

    We propose a new method for verifying synchronous circuits using the Boyer-Moore Theorem Prover (BMTP) based on an efficient use of induction. The method contains two techniques. The one is the representation method of signals. Each signal is represented not as a waveform, but as a time parameterized function. The other is the mechanical transformation of the circuit description. A simple description of the logical connection of the components of a circuit is transformed into such a form that is not only acceptable as a definition of BMTP but also adequate for applying induction. We formalize the method and show that it realizes an efficient proof.

  • Formal Design Verification of Combinational Circuits Specified by Recurrence Equations

    Hiroyuki OCHI  Shuzo YAJIMA  

     
    PAPER-Design Verification

      Vol:
    E79-D No:10
      Page(s):
    1431-1435

    In order to apply formal design verification, it is necessary to describe formally and correctly the specification of the circuit under verification. Especially when we apply conventional OBDD-based logic comparison method for verifying combinational circuits, another correct" logic circuits or Boolean formulae must be given as the specification. It is desired to develop an efficient automatic design verification method which interprets specification that can be described easier. This paper provides a new verification method which is useful for combinational circuits such as arithmetic circuits. The proposed method efficiently verifies whether a designed circuit satisfies a specification given by recurrence equations. This enables us to describe easily an error-free specification for arithmetic circuits. To perform verification efficiently using an ordinary OBDD package, an efficient truth-value rotation algorithm is developed. The truthvalue rotation algorithm efficiently generates an OBDD representing f(x + 1 (mod 2n)) from a given OBDD representing f(x). By experiments on SPARC station 10 model 51, it takes 180 secs to generate an OBDD for designed circuit of 23-bit square function, and additional 60 secs is sufficient to finish verifying that it satisfies the specification given by recurrence equations.

  • Implicit Representation and Manipulation of Binary Decision Diagrams

    Hitoshi YAMAUCHI  Nagisa ISHIURA  Hiromitsu TAKAHASHI  

     
    PAPER

      Vol:
    E79-A No:3
      Page(s):
    354-362

    This paper presents implicit representation of binary decision diagrams (implicit BDDs) as a new effecient data structure for Boolean functions. A well-known method of representing graphs by binary decision diagrams (BDDs) is applied to BDDs themselves. Namely, it is a BDD representation of BDDs. Regularity in the structure of BDDs representing certain Boolean functions contributes to significant reduction in size of the resulting implicit BDD repersentation. Since the implicit BDDs also provide canonical forms for Boolean functions, the equivalence of the two implicit BDD forms is decided in time proportional to the representation size. We also show an algorithm to maniqulate Boolean functions on this implicit data structure.

  • Towards Verification of Bit-Slice Circuits--Time-Space Modal Model Checking Approach--

    Hiromi HIRAISHI  

     
    PAPER

      Vol:
    E78-D No:7
      Page(s):
    791-795

    The goal of this paper is to propose a new symbolic model checking approach named time-space modal model checking, which could be applicable to verification of bit-slice microprocessor of infinite bit width and one dimensional systolic array of infinite length. A simple benchmark result shows the effectiveness of the proposed approach.

  • Integrated Design and Test Assistance for Pipeline Controllers

    Hiroaki IWASHITA  Tsuneo NAKATA  Fumiyasu HIROSE  

     
    PAPER

      Vol:
    E76-D No:7
      Page(s):
    747-754

    We Propose an integrated design and test assistance method for pipelined processors. Our approach generates behavioral-level test environments for pipeline control mechanisms from a machine-readable specification. It includes automatic generation of test programs and behavioral descriptions. Verification can be done by applying logic simulation to both the designers' descriptions and the behavioral descriptions, and then comparing the results. We have implemented an experimental system that enumerates all hazard patterns--instruction patterns that cause pipeline hazards--from the specifications, and generates the test programs and the behavioral descriptions for the pipeline controllers. The test programs cover all of the hazard patterns. The behavioral descriptions can manipulate any instruction stream. Experimental results for several RISC processors show that actual hazard patterns are too numerous to be easily enumerated by hand. Using workstations, our system can generate the test programs that cover all of the patterns, taking a few minutes. Results suggest that the system can be used to evaluate pipeline design.

  • Formal Design Verification of Sequential Machines Based on Symbolic Model Checking for Branching Time Regular Temporal Logic

    Kiyoharu HAMAGUCHI  Hiromi HIRAISHI  Shuzo YAJIMA  

     
    PAPER

      Vol:
    E75-A No:10
      Page(s):
    1220-1229

    Recently, Burch et al. proposed symbolic model checking method to verify sequential machines formally. The method, which is based on logic function manipulation using binary decision diagram, can handle large sequential machines that cannot be handled by the conventional techniques. The expressive power of Computational Tree Logic (CTL), which was used by Burch et al., is not very powerful, for example, CTL cannot describe repetition of events. This papers shows an extension of the symbolic model checking algorithm to Branching time regular temporal logic (BRTL), which has been proposed by the authors as an improvement of CTL in terms of expressive power. The implemented verifier based on the proposed algorithm could verify behaviors of a microprocessor composed of approximately 1,600 gates and 68 flipflops.