The search functionality is under construction.

Author Search Result

[Author] Tsuyoshi IWAGAKI(7hit)

1-7hit
  • Flexible Test Scheduling for an Asynchronous On-Chip Interconnect through Special Data Transfer

    Tsuyoshi IWAGAKI  Eiri TAKEDA  Mineo KANEKO  

     
    PAPER-Logic Synthesis, Test and Verification

      Vol:
    E94-A No:12
      Page(s):
    2563-2570

    This paper proposes a test scheduling method for stuck-at faults in a CHAIN interconnect, which is an asynchronous on-chip interconnect architecture, with scan ability. Special data transfer which is permitted only during test, is exploited to realize a more flexible test schedule than that of a conventional approach. Integer linear programming (ILP) models considering such special data transfer are developed according to the types of modules under test in a CHAIN interconnect. The obtained models are processed by using an ILP solver. This framework can not only obtain optimal test schedules but also easily introduce additional constraints such as a test power budget. Experimental results using benchmark circuits show that the proposed method can reduce test application time compared to that achieved by the conventional method.

  • Novel Register Sharing in Datapath for Structural Robustness against Delay Variation

    Keisuke INOUE  Mineo KANEKO  Tsuyoshi IWAGAKI  

     
    PAPER

      Vol:
    E91-A No:4
      Page(s):
    1044-1053

    As the feature size of VLSI becomes smaller, delay variations become a serious problem in VLSI. In this paper, we propose a novel class of robustness for a datapath against delay variations, which is named structural robustness against delay variation (SRV), and propose sufficient conditions for a datapath to have SRV. A resultant circuit designed under these conditions has a larger timing margin to delay variations than previous designs without sacrificing effective computation time. In addition, under any degree of delay variations, we can always find an available clock frequency for a datapath having SRV property to operate correctly, which could be a preferable characteristic in IP-based design.

  • A Design Scheme for Delay Testing of Controllers Using State Transition Information

    Tsuyoshi IWAGAKI  Satoshi OHTAKE  Hideo FUJIWARA  

     
    PAPER-Test

      Vol:
    E87-A No:12
      Page(s):
    3200-3207

    This paper presents a non-scan design scheme to enhance delay fault testability of controllers. In this scheme, we utilize a given state transition graph (STG) to test delay faults in its synthesized controller. The original behavior of the STG is used during test application. For faults that cannot be detected by using the original behavior, we design an extra logic, called an invalid test state and transition generator, to make those faults detectable. Our scheme allows achieving short test application time and at-speed testing. We show the effectiveness of our method by experiments.

  • A Low Power Deterministic Test Using Scan Chain Disable Technique

    Zhiqiang YOU  Tsuyoshi IWAGAKI  Michiko INOUE  Hideo FUJIWARA  

     
    PAPER-Dependable Computing

      Vol:
    E89-D No:6
      Page(s):
    1931-1939

    This paper proposes a low power scan test scheme and formulates a problem based on this scheme. In this scheme the flip-flops are grouped into N scan chains. At any time, only one scan chain is active during scan test. Therefore, both average power and peak power are reduced compared with conventional full scan test methodology. This paper also proposes a tabu search-based approach to minimize test application time. In this approach we handle the information during deterministic test efficiently. Experimental results demonstrate that this approach drastically reduces both average power and peak power dissipation at a little longer test application time on various benchmark circuits.

  • Optimal Register Assignment with Minimum-Path Delay Compensation for Variation-Aware Datapaths

    Keisuke INOUE  Mineo KANEKO  Tsuyoshi IWAGAKI  

     
    PAPER

      Vol:
    E92-A No:4
      Page(s):
    1096-1105

    For recent and future nanometer-technology VLSIs, static and dynamic delay variations become a serious problem. In many cases, the hold constraint, as well as the setup constraint, becomes critical for latching a correct signal under delay variations. This paper treats the hold constraint in a datapath circuit, and discusses a register assignment in high level synthesis considering delay variations. Our approach to ensure the hold constraint under delay variations is to enlarge the minimum-path delay between registers, which is called minimum-path delay compensation (MDC) in this paper. MDC can be done by inserting delay elements mainly in non-critical paths of a functional unit (FU). One of our contributions is to show that the minimization of the number of minimum-path delay compensated FUs is NP-hard in general, and it is in the class P if the number of FUs is a constant. A polynomial time algorithm for the latter is also shown in this paper. In addition, an integer linear programming (ILP) formulation is also presented. The proposed method generates a datapath having (1) robustness against delay variations, which is ensured partly by MDC technique and partly by SRV-based register assignment, and (2) the minimum possible numbers of MDCs and registers.

  • Transient Fault Tolerant State Assignment for Stochastic Computing Based on Linear Finite State Machines

    Hideyuki ICHIHARA  Motoi FUKUDA  Tsuyoshi IWAGAKI  Tomoo INOUE  

     
    PAPER

      Vol:
    E103-A No:12
      Page(s):
    1464-1471

    Stochastic computing (SC), which is an approximate computation with probabilities, has attracted attention owing to its small area, small power consumption and high fault tolerance. In this paper, we focus on the transient fault tolerance of SC based on linear finite state machines (linear FSMs). We show that state assignment of FSMs considerably affects the fault tolerance of linear FSM-based SC circuits, and present a Markov model for representing the impact of the state assignment on the behavior of faulty FSMs and estimating the expected error significance of the faulty FSM-based SC circuits. Furthermore, we propose a heuristic algorithm for appropriate state assignment that can mitigate the influence of transient faults. Experimental analysis shows that the state assignment has an impact on the transient fault tolerance of linear FSM-based SC circuits and the proposed state assignment algorithm can achieve a quasi-optimal state assignment in terms of high fault tolerance.

  • Backward-Data-Direction Clocking and Relevant Optimal Register Assignment in Datapath Synthesis

    Keisuke INOUE  Mineo KANEKO  Tsuyoshi IWAGAKI  

     
    PAPER-VLSI Design Technology and CAD

      Vol:
    E94-A No:4
      Page(s):
    1067-1081

    For recent and future nanometer-technology VLSIs, static and dynamic delay variations become a serious problem. In many cases, the hold timing constraint, as well as the setup timing constraint, becomes critical for latching a correct signal under delay variations. While the timing violation due to the fail of the setup timing constraint can be fixed by tuning a clock frequency or using a delayed latch, the timing violation due to the fail of the hold timing constraint cannot be fixed by those methods in general. Our approach to delay variations (in particular, the hold timing constraint) proposed in this paper is a novel register assignment strategy in high-level synthesis, which guarantees safe clocking by Backward-Data-Direction (BDD) clocking. One of the drawbacks of the proposed register assignment is the increase in the number of required registers. After the formulation of this new register minimization problem, we prove NP-hardness of the problem, and then derive an integer linear programming formulation for the problem. The proposed method receives a scheduled data flow graph, and generates a datapath having (1) robustness against delay variations, which is ensured by BDD-based register assignment, and (2) the minimum possible number of registers. Experimental results show the effectiveness of the proposed method for some benchmark circuits.