The search functionality is under construction.

Author Search Result

[Author] Hideo FUJIWARA(48hit)

1-20hit(48hit)

  • FOREWORD

    Makoto NAGAO  Hideo FUJIWARA  

     
    FOREWORD

      Vol:
    E74-D No:1
      Page(s):
    177-178
  • NoC-Compatible Wrapper Design and Optimization under Channel-Bandwidth and Test-Time Constraints

    Fawnizu Azmadi HUSSIN  Tomokazu YONEDA  Hideo FUJIWARA  

     
    PAPER-Dependable Computing

      Vol:
    E91-D No:7
      Page(s):
    2008-2017

    The IEEE 1500 standard wrapper requires that its inputs and outputs be interfaced directly to the chip's primary inputs and outputs for controllability and observability. This is typically achieved by providing a dedicated Test Access Mechanism (TAM) between the wrapper and the primary inputs and outputs. However, when reusing the embedded Network-on-Chip (NoC) interconnect instead of the dedicated TAM, the standard wrapper cannot be used as is because of the packet-based transfer mechanism and other functional requirements by the NoC. In this paper, we describe two NoC-compatible wrappers, which overcome these limitations of the 1500 wrapper. The wrappers (Type 1 and Type 2) complement each other to optimize NoC bandwidth utilization while minimizing the area overhead. The Type 2 wrapper uses larger area overhead to increase bandwidth efficiency, while Type 1 takes advantage of some special configurations which may not require a complex and high-cost wrapper. Two wrapper optimization algorithms are applied to both wrapper designs under channel-bandwidth and test-time constraints, resulting in very little or no increase in the test application time compared to conventional dedicated TAM approaches.

  • Design and Optimization of Transparency-Based TAM for SoC Test

    Tomokazu YONEDA  Akiko SHUTO  Hideyuki ICHIHARA  Tomoo INOUE  Hideo FUJIWARA  

     
    PAPER-Information Network

      Vol:
    E93-D No:6
      Page(s):
    1549-1559

    We present a graph model and an ILP model for TAM design for transparency-based SoC testing. The proposed method is an extension of a previous work proposed by Chakrabarty with respect to the following three points: (1) constraint relaxation by considering test data flow for each core separately, (2) optimization of the cost for transparency as well as the cost for additional interconnect area simultaneously and (3) consideration of additional bypass paths. Therefore, the proposed ILP model can represent various problems including the same problem as the previous work and produce better results. Experimental results show the effectiveness and flexibility of the proposed method compared to the previous work.

  • Generalized Feed Forward Shift Registers and Their Application to Secure Scan Design

    Katsuya FUJIWARA  Hideo FUJIWARA  

     
    PAPER-Dependable Computing

      Vol:
    E96-D No:5
      Page(s):
    1125-1133

    In this paper, we introduce generalized feed-forward shift registers (GF2SR) to apply them to secure and testable scan design. Previously, we introduced SR-equivalents and SR-quasi-equivalents which can be used in secure and testable scan design, and showed that inversion-inserted linear feed-forward shift registers (I2LF2SR) are useful circuits for the secure and testable scan design. GF2SR is an extension of I2LF2SR and the class is much wider than that of I2LF2SR. Since the cardinality of the class of GF2SR is much larger than that of I2LF2SR, the security level of scan design with GF2SR is much higher than that of I2LF2SR. We consider how to control/observe GF2SR to guarantee easy scan-in/out operations, i.e., state-justification and state-identification problems are considered. Both scan-in and scan-out operations can be overlapped in the same way as the conventional scan testing, and hence the test sequence for the proposed scan design is of the same length as the conventional scan design. A program called WAGSR (Web Application for Generalized feed-forward Shift Registers) is presented to solve those problems.

  • Testing for the Programming Circuit of SRAM-Based FPGAs

    Hiroyuki MICHINISHI  Tokumi YOKOHIRA  Takuji OKAMOTO  Tomoo INOUE  Hideo FUJIWARA  

     
    PAPER-Fault Tolerant Computing

      Vol:
    E82-D No:6
      Page(s):
    1051-1057

    The programming circuit of SRAM-based FPGAs consists of two shift registers, a control circuit and a configuration memory (SRAM) cell array. Because the configuration memory cell array can be easily tested by conventional test methods for RAMs, we focus on testing for the shift registers. We first derive test procedures for the shift registers, which can be done by using only the faculties of the programming circuit, without using additional hardware. Next, we show the validness of the test procedures. Finally, we show an application of the test procedures to test Xilinx XC4025.

  • Synthesis and Enumeration of Generalized Shift Registers for Strongly Secure SR-Equivalents

    Hideo FUJIWARA  Katsuya FUJIWARA  

     
    LETTER-Dependable Computing

      Pubricized:
    2017/05/26
      Vol:
    E100-D No:9
      Page(s):
    2232-2236

    In our previous work, we introduced new concepts of secure scan design; shift register equivalent circuits (SR-equivalents, for short) and strongly secure circuits, and also introduced generalized shift registers (GSRs, for short) to apply them to secure scan design. In this paper, we combine both concepts of SR-equivalents and strongly secure circuits and apply them to GSRs, and consider the synthesis problem of strongly secure SR-equivalents using GSRs. We also consider the enumeration problem of GSRs that are strongly secure and SR-equivalent, i.e., the cardinality of the class of strongly secure SR-equivalent GSRs to clarify the security level of the secure scan architecture.

  • High-Level Synthesis for Weakly Testable Data Paths

    Michiko INOUE  Kenji NODA  Takeshi HIGASHIMURA  Toshimitsu MASUZAWA  Hideo FUJIWARA  

     
    PAPER-Test Synthesis

      Vol:
    E81-D No:7
      Page(s):
    645-653

    We present a high-level synthesis scheme that considers weak testability of generated register-transfer level (RTL) data paths, as well as their area and performance. The weak testability, proposed in our previous work, is a testability measure of RTL data paths for non-scan design. In our scheme, we first extract a condition on resource sharing sufficient for weak testability from a data flow graph before synthesis, and treat the condition as design objectives in the following synthesis tasks. We propose heuristic synthesis algorithms which optimize area and the design objectives under the performance constraint.

  • Defect Level vs. Yield and Fault Coverage in the Presence of an Unreliable BIST

    Yoshiyuki NAKAMURA  Jacob SAVIR  Hideo FUJIWARA  

     
    PAPER-Dependable Computing

      Vol:
    E88-D No:6
      Page(s):
    1210-1216

    Built-in self-test (BIST) hardware is included today in many chips. This hardware is used to test the chip's functional circuits. Since this BIST hardware is manufactured using the same technology as the functional circuits themselves, it is possible for it to be faulty. It is important, therefore, to assess the impact of this unreliable BIST on the product defect level after test. Williams and Brown's formula, relating the product defect level as a function of the manufacturing yield and fault coverage, is re-examined in this paper. In particular, special attention is given to the influence of an unreliable BIST on this relationship. We show that when the BIST hardware is used to screen the functional product, an unreliable BIST circuitry tends, in many cases, to reduce the effective fault coverage and increase the corresponding product defect level. The BIST unreliability impact is assessed for both early life phase, and product maturity phase.

  • A Method of Path Mapping from RTL to Gate Level and Its Application to False Path Identification

    Hiroshi IWATA  Satoshi OHTAKE  Hideo FUJIWARA  

     
    PAPER-Information Network

      Vol:
    E93-D No:7
      Page(s):
    1857-1865

    Information on false paths in a circuit is useful for design and testing. The use of this information may contribute not only to reducing circuit area, the time required for logic synthesis, test generation and test application of the circuit, but also to alleviating over-testing. Since identification of the false paths at gate level is hard, several methods using high-level design information have been proposed. These methods are effective only if the correspondence between paths at register transfer level (RTL) and at gate level can be established. Until now, giving restriction on logic synthesis is the only way to establish the correspondence. However, it is not practical for industrial designs. In this paper, we propose a method for mapping RTL false paths to their corresponding gate level paths without such a specific logic synthesis; it guarantees that the corresponding gate level paths are false. Experimental results show that our path mapping method can establish the correspondences of RTL false paths and many gate level false paths.

  • A Memory Grouping Method for Reducing Memory BIST Logic of System-on-Chips

    Masahide MIYAZAKI  Tomokazu YONEDA  Hideo FUJIWARA  

     
    PAPER-Dependable Computing

      Vol:
    E89-D No:4
      Page(s):
    1490-1497

    With the increasing demand for SoCs to include rich functionality, SoCs are being designed with hundreds of small memories with different sizes and frequencies. If memory BIST logics were individually added to these various memories, the area overhead would be very high. To reduce the overhead, memory BIST logic must therefore be shared. This paper proposes a memory-grouping method for memory BIST logic sharing. A memory-grouping problem is formulated and an algorithm to solve the problem is proposed. Experimental results show that the proposed method reduced the area of the memory BIST wrapper by up to 40.55%. The results also show that the ability to select from two types of connection methods produced a greater reduction in area than using a single connection method.

  • Fault Detection Capability of an O (m・n) Test Generation Algorithm for PLAs

    Yinghua MIN  Hideo FUJIWARA  

     
    PAPER-Fault Tolerant Computing

      Vol:
    E74-D No:10
      Page(s):
    3506-3512

    Programmable Logic Arrays (PLAs) are very suitable to VLSI implementation and very convenient for logic design, because of the structure regularity and programmable flexibility. However, PLAs are random-resistant circuits for which random patterns are not effective for achieving a high fault coverage, because of their high fan-in and fan-out. This paper presents an O (mn) test generation algorithm to generate ETG (Easy Test Generation) patterns wher m is the number of input lines and n is the number of products. The fault coverage of ETG patterns can be calcuated based on parameters of a given PLA. Experimental results show that the fault coverage is higher than 90% with the confident 90.3%. An alternative of the O (mn) test generation algorithm to enhance fault coverage is presented. The given PLA is also possivel to be modified to an ETG PLA to reach 100% fault coverage of ETG patterns.

  • Non-scan Design for Testability for Synchronous Sequential Circuits Based on Fault-Oriented Conflict Analysis

    Dong XIANG  Shan GU  Hideo FUJIWARA  

     
    PAPER-Fault Tolerance

      Vol:
    E86-D No:11
      Page(s):
    2407-2417

    A two stage non-scan design for testability method is proposed. The first stage selects test points based on an earlier testability measure conflict. A new design for testability algorithm is proposed to select test points by a fault-oriented testability measure conflict+ in the second stage. Test points are selected in the second stage based on the hard faults after the initial ATPG run of the design for testability circuit in the preliminary stage. The new testability measure conflict+ based on conflict analysis of hard-faults in the process of test generation is introduced, which emulates most general features of sequential ATPG. The new testability measure reduces testability of a fault to the minimum D or controllability of the primary outputs, and therefore, does not need observability measure any more. Effective approximate schemes are adopted to get reasonable estimation of the testability measure. A couple of effective techniques are also adopted to accelerate the process of the proposed design for testability algorithm. Experimental results show that the proposed method gets even better results than two of the recent non-scan design for testability methods nscan and lcdft.

  • Parallel Algorithms for the All Nearest Neighbors of Binary Image on the BSP Model

    Takashi ISHIMIZU  Akihiro FUJIWARA  Michiko INOUE  Toshimitsu MASUZAWA  Hideo FUJIWARA  

     
    PAPER-Algorithms

      Vol:
    E83-D No:2
      Page(s):
    151-158

    In this paper, we present two parallel algorithms for computing the all nearest neighbors of an n n binary image on the Bulk-Synchronous Parallel(BSP) model. The BSP model is an asynchronous parallel computing model, where its communication features are abstracted by two parameters L and g: L denotes synchronization periodicity and g denotes a reciprocal of communication bandwidth. We propose two parallel algorithms for the all nearest neighbor problems based on two distance metrics. The first algorithm is for Lp distance, and the second algorithm is for weighted distance. Both two algorithms run in O(n2/p + L) computation time and in O(g(n/p) + L) communication time using p (1 p n) processors and in O(n2/p + (d+L)(log(p/n)/log(d+1))) computation time and in O(g(n/p) + (gd+L)(log(p/n)/log(d+1))) communication time using p (n< p n2) processors on the BSP model, for any integer d(1 dp/n).

  • Wait-Free Linearizable Distributed Shared Memory

    Sen MORIYA  Katsuro SUDA  Michiko INOUE  Toshimitsu MASUZAWA  Hideo FUJIWARA  

     
    PAPER-Algorithms

      Vol:
    E83-D No:8
      Page(s):
    1611-1621

    We consider a wait-free linearizable implementation of shared objects on a distributed message-passing system. We assume that the system provides each process with a local clock that runs at the same speed as global time and that all message delays are in the range [d-u,d] where d and u (0< u d) are constants known to every process. We present four wait-free linearizable implementations of read/write registers on reliable and unreliable broadcast models. We also present two wait-free linearizable implementations of general objects on a reliable broadcast model. The efficiency of an implementation is measured by the worst-case response time for each operation of the implemented object. Response times of our wait-free implementations of read/write registers on a reliable broadcast model is better than a previously known implementation in which wait-freedom is not taken into account.

  • Fault-Tolerant and Self-Stabilizing Protocols Using an Unreliable Failure Detector

    Hiroyoshi MATSUI  Michiko INOUE  Toshimitsu MASUZAWA  Hideo FUJIWARA  

     
    PAPER-Algorithms

      Vol:
    E83-D No:10
      Page(s):
    1831-1840

    We investigate possibility of fault-tolerant and self-stabilizing protocols (ftss protocols) using an unreliable failure detector. Our main contribution is (1) to newly introduce k-accuracy of an unreliable failure detector, (2) to show that k-accuracy of a failure detector is necessary for any ftss k-group consensus protocol, and (3) to present three ftss k-group consensus protocols using a k-accurate and weakly complete failure detector under the read/write daemon on complete networks and on (n-k+1)-connected networks, and under the central daemon on complete networks.

  • Strongly Secure Scan Design Using Generalized Feed Forward Shift Registers

    Hideo FUJIWARA  Katsuya FUJIWARA  

     
    LETTER-Dependable Computing

      Pubricized:
    2015/06/24
      Vol:
    E98-D No:10
      Page(s):
    1852-1855

    In our previous work [12], [13], we introduced generalized feed-forward shift registers (GF2SR, for short) to apply them to secure and testable scan design, where we considered the security problem from the viewpoint of the complexity of identifying the structure of GF2SRs. Although the proposed scan design is secure in the sense that the structure of a GF2SR cannot be identified only from the primary input/output relation, it may not be secure if part of the contents of the circuit leak out. In this paper, we introduce a more secure concept called strong security such that no internal state of strongly secure circuits leaks out, and present how to design such strongly secure GF2SRs.

  • A Simple Parallel Algorithm for the Medial Axis Transform

    Akihiro FUJIWARA  Michiko INOUE  Toshimitsu MASUZAWA  Hideo FUJIWARA  

     
    PAPER-Algorithms

      Vol:
    E79-D No:8
      Page(s):
    1038-1045

    The medial axis transform (MAT) is an image representation scheme. For a binary image, the MAT is defined as a set of upright maximal squares which consist of pixels of value l entirely. The MAT plays an important role in image understanding. This paper presents a parallel algorithm for computing the MAT of an n n binary image. We show that the algorithm can be performed in O(log n) time using n2/log n processors on the EREW PRAM and in O(log log n) time using n2/log log n processors on the common CRCW PRAM. We also show that the algorithm can be performed in O(n2/p2 + n) time on a p p mesh and in O(n2/p2 + (n log p)/p) time on a p2 processor hypercube (for 1 p n). The algorithm is cost optimal on the PRAMs, on the mesh (for 1 p n) and on the hypercube (for 1 p n/log n).

  • FOREWORD

    Hideo FUJIWARA  

     
    FOREWORD

      Vol:
    E78-D No:7
      Page(s):
    789-790
  • A DFT Selection Method for Reducing Test Application Time of System-on-Chips

    Masahide MIYAZAKI  Toshinori HOSOKAWA  Hiroshi DATE  Michiaki MURAOKA  Hideo FUJIWARA  

     
    PAPER-SoC Testing

      Vol:
    E87-D No:3
      Page(s):
    609-619

    This paper proposes an SoC test architecture generation framework. It contains a database, which stores the test cost information of several DFTs for every core, and a DFT selection part which performs DFT selection for minimizing the test application time using this database in the early phase of the design flow. Moreover, the DFT selection problem is formulated and the algorithm that solves this problem is proposed. Experimental results show that bottlenecks in test application time when using a single DFT method for all cores in an SoC is reduced by performing DFT selection from two types of DFTs. As a result, the whole test application time is drastically shortened.

  • F-Scan: A DFT Method for Functional Scan at RTL

    Marie Engelene J. OBIEN  Satoshi OHTAKE  Hideo FUJIWARA  

     
    PAPER-Information Network

      Vol:
    E94-D No:1
      Page(s):
    104-113

    Due to the difficulty of test pattern generation for sequential circuits, several design-for-testability (DFT) approaches have been proposed. An improvement to these current approaches is needed to cater to the requirements of today's more complicated chips. This paper introduces a new DFT method applicable to high-level description of circuits, which optimally utilizes existing functional elements and paths for test. This technique, called F-scan, effectively reduces the hardware overhead due to test without compromising fault coverage. Test application time is also kept at the minimum. The comparison of F-scan with the performance of gate-level full scan design is shown through the experimental results.

1-20hit(48hit)