The search functionality is under construction.
The search functionality is under construction.

Keyword Search Result

[Keyword] (42807hit)

11981-12000hit(42807hit)

  • Parallel Dynamic Cloud Rendering Method Based on Physical Cellular Automata Model

    Liqiang ZHANG  Chao LI  Haoliang SUN  Changwen ZHENG  Pin LV  

     
    PAPER-Parallel and Distributed Computing

      Vol:
    E95-D No:12
      Page(s):
    2750-2758

    Due to the complicated composition of cloud and its disordered transformation, the rendering of cloud does not perfectly meet actual prospect by current methods. Based on physical characteristics of cloud, a physical cellular automata model of Dynamic cloud is designed according to intrinsic factor of cloud, which describes the rules of hydro-movement, deposition and accumulation and diffusion. Then a parallel computing architecture is designed to compute the large-scale data set required by the rendering of dynamical cloud, and a GPU-based ray-casting algorithm is implemented to render the cloud volume data. The experiment shows that cloud rendering method based on physical cellular automata model is very efficient and able to adequately exhibit the detail of cloud.

  • A Body Bias Clustering Method for Low Test-Cost Post-Silicon Tuning

    Shuta KIMURA  Masanori HASHIMOTO  Takao ONOYE  

     
    PAPER-Logic Synthesis, Test and Verification

      Vol:
    E95-A No:12
      Page(s):
    2292-2300

    Post-silicon tuning is attracting a lot of attention for coping with increasing process variation. However, its tuning cost via testing is still a crucial problem. In this paper, we propose tuning-friendly body bias clustering with multiple bias voltages. The proposed method provides a small set of compensation levels so that the speed and leakage current vary monotonically according to the level. Thanks to this monotonic leveling and limitation of the number of levels, the test-cost of post-silicon tuning is significantly reduced. During the body bias clustering, the proposed method explicitly estimates and minimizes the average leakage after the post-silicon tuning. Experimental results demonstrate that the proposed method reduces the average leakage by 25.3 to 51.9% compared to non clustering case. In a test case of four clusters, the number of necessary tests is reduced by 83% compared to the conventional exhaustive test approach. We reveal that two bias voltages are sufficient when only a small number of compensation levels are allowed for test-cost reduction. We also give an implication on how to synthesize a circuit to which post-silicon tuning will be applied.

  • The Cooperative Mobile Positioning Based on the Received Signal Strength for Heterogeneous Environments

    Reza SAADAT  Maryam ASADI  

     
    LETTER-Terrestrial Wireless Communication/Broadcasting Technologies

      Vol:
    E95-B No:12
      Page(s):
    3929-3932

    We focus on the cooperative mobile positioning based on the received signal strength for heterogeneous environments. We use the least squares method to estimate the channel attenuation coefficients, and hyperbolic method to determine the position. For increasing accuracy, we use different weighting to the adjacent users' data in the attenuation coefficients estimation.

  • Geographic Routing Algorithm with Location Errors

    Yuanwei JING  Yan WANG  

     
    LETTER-Information Network

      Vol:
    E95-D No:12
      Page(s):
    3092-3096

    Geographic routing uses the geographical location information provided by nodes to make routing decisions. However, the nodes can not obtain accurate location information due to the effect of measurement error. A new routing strategy using maximum expected distance and angle (MEDA) algorithm is proposed to improve the performance and promote the successive transmission rate. We firstly introduce the expected distance and angle, and then we employ the principal component analysis to construct the object function for selecting the next hop node. We compare the proposed algorithm with maximum expectation within transmission range (MER) and greedy routing scheme (GRS) algorithms. Simulation results show that the proposed MEDA algorithm outperforms the MER and GRS algorithms with higher successive transmission rate.

  • A Low-Cost Bit-Error-Rate BIST Circuit for High-Speed ADCs Based on Gray Coding

    Ya-Ting SHYU  Ying-Zu LIN  Rong-Sing CHU  Guan-Ying HUANG  Soon-Jyh CHANG  

     
    PAPER-Analog Signal Processing

      Vol:
    E95-A No:12
      Page(s):
    2415-2423

    Real-time on-chip measurement of bit error rate (BER) for high-speed analog-to-digital converters (ADCs) does not only require expensive multi-port high-speed data acquisition equipment but also enormous post-processing. This paper proposes a low-cost built-in-self-test (BIST) circuit for high-speed ADC BER test. Conventionally, the calculation of BER requires a high-speed adder. The presented method takes the advantages of Gray coding and only needs simple logic circuits for BER evaluation. The prototype of the BIST circuit is fabricated along with a 5-bit high-speed flash ADC in a 90-nm CMOS process. The active area is only 90 µm 70 µm and the average power consumption is around 0.3 mW at 700 MS/s. The measurement of the BIST circuit shows consistent results with the measurement by external data acquisition equipment.

  • A Trust Distributed DRM System Using Smart Cards

    Ming-Kung SUN  Michael CHANG  Hsiao-Ching LIN  Chi-Sung LAIH  Hui-Tang LIN  

     
    PAPER-Data Engineering, Web Information Systems

      Vol:
    E95-D No:12
      Page(s):
    2991-3000

    Digital Rights Management (DRM) ensures that the usage of digital media adheres to the intentions of the copyright holder and prevents the unauthorized modification or distribution of media. Due to the widespread adoption of digital content use, DRM has received a fair amount of attention and has seen implementation in many commercial models. Although many DRM schemes have been introduced in the literature, they still suffer from some security issues and may not guarantee the quality of performance. In this paper, we propose a trust-distributed DRM model to provide improvements for realistic DRM environments to bring more functionality to users. We use the features of the smart cards to provide an option of anonymity for the consumer while continuing to protect the rights of the copyright holder and the financial interests of the media industry. We also classify the security criteria of DRM systems and show that our proposed smart card based DRM scheme satisfies all of these criteria.

  • A Design of Genetically Optimized Linguistic Models

    Keun-Chang KWAK  

     
    LETTER-Biocybernetics, Neurocomputing

      Vol:
    E95-D No:12
      Page(s):
    3117-3120

    In this paper, we propose a method for designing genetically optimized Linguistic Models (LM) with the aid of fuzzy granulation. The fundamental idea of LM introduced by Pedrycz is followed and their design framework based on Genetic Algorithm (GA) is enhanced. A LM is designed by the use of information granulation realized via Context-based Fuzzy C-Means (CFCM) clustering. This clustering technique builds information granules represented as a fuzzy set. However, it is difficult to optimize the number of linguistic contexts, the number of clusters generated by each context, and the weighting exponent. Thus, we perform simultaneous optimization of design parameters linking information granules in the input and output spaces based on GA. Experiments on the coagulant dosing process in a water purification plant reveal that the proposed method shows better performance than the previous works and LM itself.

  • Acceleration of Block Matching on a Low-Power Heterogeneous Multi-Core Processor Based on DTU Data-Transfer with Data Re-Allocation

    Yoshitaka HIRAMATSU  Hasitha Muthumala WAIDYASOORIYA  Masanori HARIYAMA  Toru NOJIRI  Kunio UCHIYAMA  Michitaka KAMEYAMA  

     
    PAPER-Integrated Electronics

      Vol:
    E95-C No:12
      Page(s):
    1872-1882

    The large data-transfer time among different cores is a big problem in heterogeneous multi-core processors. This paper presents a method to accelerate the data transfers exploiting data-transfer-units together with complex memory allocation. We used block matching, which is very common in image processing, to evaluate our technique. The proposed method reduces the data-transfer time by more than 42% compared to the earlier works that use CPU-based data transfers. Moreover, the total processing time is only 15 ms for a VGA image with 1616 pixel blocks.

  • A Specific Physical-Layer Network Coding for MPSK Modulation in Multi-Antenna Relay Networks

    Ruohan CAO  Tiejun LV  Hui GAO  Yueming LU  Yongmei SUN  

     
    LETTER

      Vol:
    E95-B No:12
      Page(s):
    3768-3771

    A specific physical layer network coding (PNC) scheme is proposed for the two-way relay channel. Unlike the traditional binary PNC that focuses mainly on BPSK modulation, the proposed PNC scheme is tailored for general MPSK modulation. In particular, the product of the two modulated signals is considered as a network-coded symbol. The proposed network coding operation occurs naturally in the inner or outer product of the received signal. A novel PNC-specific detection principle is then developed to estimate the network-coded symbol. Simulations show that the proposed scheme achieves almost optimal performance in terms of end-to-end bit error rate (BER), where the relay node is equipped with multiple antennas.

  • Image Recovery by Decomposition with Component-Wise Regularization

    Shunsuke ONO  Takamichi MIYATA  Isao YAMADA  Katsunori YAMAOKA  

     
    PAPER-Image

      Vol:
    E95-A No:12
      Page(s):
    2470-2478

    Solving image recovery problems requires the use of some efficient regularizations based on a priori information with respect to the unknown original image. Naturally, we can assume that an image is modeled as the sum of smooth, edge, and texture components. To obtain a high quality recovered image, appropriate regularizations for each individual component are required. In this paper, we propose a novel image recovery technique which performs decomposition and recovery simultaneously. We formulate image recovery as a nonsmooth convex optimization problem and design an iterative scheme based on the alternating direction method of multipliers (ADMM) for approximating its global minimizer efficiently. Experimental results reveal that the proposed image recovery technique outperforms a state-of-the-art method.

  • Construction of Independent Set and Its Application for Designed Minimum Distance

    Junru ZHENG  Takayasu KAIDA  

     
    PAPER-Coding Theory

      Vol:
    E95-A No:12
      Page(s):
    2107-2112

    The shift bound is a good lower bound of the minimum distance for cyclic codes, Reed-Muller codes and geometric Goppa codes. It is necessary to construct the maximum value of the independent set. However, its computational complexity is very large. In this paper, we consider cyclic codes defined by their defining set, and a new method to calculate the lower bound of the minimum distance using the discrete Fourier transform (DFT) is shown. The computational complexity of this method is compared with the shift bound's one. Moreover construction of independent set is shown.

  • Two-Dimensional Optical CDMA Systems Based on MWOOC with Generalized Prime Sequences

    Agus SUSILO  Tomoko K. MATSUSHIMA  Yasuaki TERAMACHI  

     
    PAPER-Spread Spectrum

      Vol:
    E95-A No:12
      Page(s):
    2160-2167

    Two-dimensional (2-D) codes for optical code-division multiple access (O-CDMA) systems can increase the number of subscribers and simultaneous users as compared to one-dimensional time-spreading codes. Multiple-wavelength optical orthogonal code (MWOOC), which is one of the 2-D codes, uses prime sequences as a wavelength-hopping code and an optical orthogonal code (OOC) as a time-spreading code. MWOOCs have some advantages over other 2-D codes especially in high bit-rate O-CDMA systems. The only drawback of MWOOC is that the performance degrades significantly when the number of wavelengths is not prime. Recently a generalized class of modified prime sequence codes (MPSCs), which includes the class of original MPSCs as its subclass, was presented. An important property of generalized MPSCs is that the codes can be constructed over not only prime fields but also extension fields. It has been shown that the correlation property of generalized MPSCs is the same as that of the original MPSCs. This paper investigates MWOOC with generalized prime sequences, which can be obtained in the process of generating the generalized MPSCs, as a wavelength-hopping code. Use of the generalized prime sequences can solve the nonprime problem of MWOOCs. The average error probability of the proposed MWOOCs is formulated theoretically and numerical results are compared with that of the original schemes. It is shown that nonprime numbers, such as 2m, 3m and 5m, can be also taken as the number of wavelengths without degrading the system performance in the proposed systems.

  • Non-orthogonal Access Scheme over Multiple Channels with Iterative Interference Cancellation and Fractional Sampling in OFDM Receiver

    Hiroyuki OSADA  Mamiko INAMORI  Yukitoshi SANADA  

     
    PAPER-Wireless Communication Technologies

      Vol:
    E95-B No:12
      Page(s):
    3837-3844

    A diversity scheme with Fractional Sampling (FS) in OFDM receivers has been investigated recently. FS path diversity makes use of the imaging components of the desired signal transmitted on the adjacent channel. To increase the diversity gain with FS the bandwidth of the transmit signal has to be enlarged. This leads to the reduction of spectrum efficiency. In this paper non-orthogonal access over multiple channels in the frequency domain with iterative interference cancellation (IIC) and FS is proposed. The proposed scheme transmits the imaging component non-orthogonally on the adjacent channel. In order to accommodate the imaging component, it is underlaid on the other desired signal. Through diversity with FS and IIC, non-orthogonal access on multiple channels is realized. Our proposed scheme can obtain diversity gains for non-orthogonal signals modulated with QPSK.

  • Fault-Injection Analysis to Estimate SEU Failure in Time by Using Frame-Based Partial Reconfiguration

    Yoshihiro ICHINOMIYA  Tsuyoshi KIMURA  Motoki AMAGASAKI  Morihiro KUGA  Masahiro IIDA  Toshinori SUEYOSHI  

     
    PAPER-High-Level Synthesis and System-Level Design

      Vol:
    E95-A No:12
      Page(s):
    2347-2356

    SRAM-based field programmable gate arrays (FPGAs) are vulnerable to a soft-error induced by radiation. Techniques for designing dependable circuits, such as triple modular redundancy (TMR) with scrubbing, have been studied extensively. However, currently available evaluation techniques that can be used to check the dependability of these circuits are inadequate. Further, their results are restrictive because they do not represent the result in terms of general reliability indicator to decide whether the circuit is dependable. In this paper, we propose an evaluation method that provides results in terms of the realistic failure in time (FIT) by using reconfiguration-based fault-injection analysis. Current fault-injection analyses do not consider fault accumulation, and hence, they are not suitable for evaluating the dependability of a circuit such as a TMR circuit. Therefore, we configure an evaluation system that can handle fault-accumulation by using frame-based partial reconfiguration and the bootstrap method. By using the proposed method, we successfully evaluated a TMR circuit and could discuss the result in terms of realistic FIT data. Our method can evaluate the dependability of an actual system, and help with the tuning and selection in dependable system design.

  • Region Oriented Routing FPGA Architecture for Dynamic Power Gating

    Ce LI  Yiping DONG  Takahiro WATANABE  

     
    PAPER-Physical Level Design

      Vol:
    E95-A No:12
      Page(s):
    2199-2207

    Dynamic power gating applicable to FPGA can reduce the power consumption effectively. In this paper, we propose a sophisticated routing architecture for a region oriented FPGA which supports dynamic power gating. This is the first routing solution of dynamic power gating for coarse-grained FPGA. This paper has 2 main contributions. First, it improves the routing resource graph and routing architecture to support special routing for a region oriented FPGA. Second, some routing channels are made wider to avoid congestion. Experimental result shows that 7.7% routing area can be reduced compared with the symmetric Wilton switch box in the region. Also, our proposed FPGA architecture with sophisticated P&R can reduce the power consumption of the system implemented in FPGA.

  • Novel Voltage Choice and Min-Cut Based Assignment for Dual-VDD System

    Haiqi WANG  Sheqin DONG  Tao LIN  Song CHEN  Satoshi GOTO  

     
    PAPER-Physical Level Design

      Vol:
    E95-A No:12
      Page(s):
    2208-2219

    Dual-vdd has been proposed to optimize the power of circuits without violating the performance. In this paper, different from traditional methods which focus on making full use of slacks of non-critical gates, an efficient min-cut based voltage assignment algorithm concentrating on critical gates is proposed. And then this algorithm is integrated into a searching engine to auto-select rational voltages for dual-vdd system. Experimental results show that our search engine can always achieve good pair of dual-vdd, and our min-cut based algorithm outperformed previous works for voltage assignment both on power consumption and runtime.

  • All-Digital Wireless Transceiver with Sub-Sampling Demodulation and Burst-Error Correction

    Sanad BUSHNAQ  Makoto IKEDA  Kunihiro ASADA  

     
    PAPER-Circuit Design

      Vol:
    E95-A No:12
      Page(s):
    2234-2241

    In this paper, an all-digital wireless transceiver for near-field communication (NFC) is presented. A novel modulation technique that allows employing only all-digital components in the transceiver is used. The front-end uses all-digital sub-sampling for carrier demodulation, which does not need synchronization circuitry. Burst-errors generated by the front-end are corrected in baseband using hamming code and interleaving techniques. Experimentally, the all-digital transceiver was tested on FPGAs that performed successful wireless communication at range/diameter equal to 1, which is higher than recent NFC research. Our transceiver uses only all-digital components, and consumes less area compared to other research.

  • Low PAPR Precoding Design with Dynamic Channel Assignment for SCBT Communication Systems

    Juinn-Horng DENG  Sheng-Yang HUANG  

     
    LETTER-Transmission Systems and Transmission Equipment for Communications

      Vol:
    E95-B No:11
      Page(s):
    3580-3584

    The single carrier block transmission (SCBT) system has become one of the most popular modulation systems because of its low peak to average power ratio (PAPR). This work proposes precoding design on the transmitter side to retain low PAPR, improve performance, and reduce computational complexity on the receiver side. The system is designed according to the following procedure. First, upper-triangular dirty paper coding (UDPC) is utilized to pre-cancel the interference among multiple streams and provide a one-tap time-domain equalizer for the SCBT system. Next, to solve the problem of the high PAPR of the UDPC precoding system, Tomlinson-Harashima precoding (THP) is developed. Finally, since the UDPC-THP system is degraded by the deep fading channels, the dynamic channel on/off assignment by the maximum capacity algorithm (MCA) and minimum BER algorithm (MBA) is proposed to enhance the bit error rate (BER) performance. Simulation results reveal that the proposed precoding transceiver can provide excellent BER and low PAPR performances for the SCBT system over a multipath fading channel.

  • An Adaptive Comb Filter with Flexible Notch Gain

    Yosuke SUGIURA  Arata KAWAMURA  Youji IIGUNI  

     
    LETTER-Digital Signal Processing

      Vol:
    E95-A No:11
      Page(s):
    2046-2048

    This paper proposes an adaptive comb filter with flexible notch gain. It can appropriately remove a periodic noise from an observed signal. The proposed adaptive comb filter uses a simple LMS algorithm to update the notch gain coefficient for removing the noise and preserving a desired signal, simultaneously. Simulation results show the effectiveness of the proposed comb filter.

  • Complementary Sequence Pairs of Types II and III

    Chunlei LI  Nian LI  Matthew G. PARKER  

     
    INVITED PAPER

      Vol:
    E95-A No:11
      Page(s):
    1819-1826

    Bipolar complementary sequence pairs of Types II and III are defined, enumerated for n ≤ 28, and classified. Type-II pairs are shown to exist only at lengths 2m, and necessary conditions for Type-III pairs lead to a non-existence conjecture regarding their length.

11981-12000hit(42807hit)