The search functionality is under construction.

Keyword Search Result

[Keyword] shallow junction(6hit)

1-6hit
  • An Application of Laser Annealing Process in Low-Voltage Power MOSFETs

    Yi CHEN  Tatsuya OKADA  Takashi NOGUCHI  

     
    PAPER

      Vol:
    E99-C No:5
      Page(s):
    516-521

    An application of laser annealing process, which is used to form the P-type Base junction for high-performance low-voltage power MOSFETs (Metal Oxide Semiconductor Field Effect Transistors), is proposed. An equivalent shallow-junction structure for P-Base junction with uniform impurity distribution is achieved by adopting green laser annealing of pulsed mode. Higher impurity activation for the shallow junction has been achieved by the laser annealing of melted phase than by conventional RTA (Rapid Thermal Annealing) of solid phase. The application of the laser annealing technology in the fabrication process of Low-Voltage U-MOSFET is also examined.

  • An Application of Laser Annealing Process in Low-Voltage Planar Power MOSFETs

    Yi CHEN  Tatsuya OKADA  Takashi NOGUCHI  

     
    BRIEF PAPER-Semiconductor Materials and Devices

      Vol:
    E99-C No:5
      Page(s):
    601-603

    An application of laser annealing process, which is used to form the shallow P-type Base junction for 20-V planar power MOSFETs (Metal Oxide Semiconductor Field Effect Transistors) is proposed. We demonstrated that the fabricated devices integrated with laser annealing process have superior electrical characteristics than those fabricated according to the standard process. Moreover, the threshold voltage variation of the devices applied by the new annealing process is effectively suppressed. This is due to that a uniform impurity distribution at the channel region is achieved by adopting laser annealing. Laser annealing technology can be applied as a reliable, effective, and advantageous process for the low-voltage power MOSFETs.

  • Ultra-Shallow Junction Formation with Antimony Implantation

    Kentaro SHIBAHARA  

     
    INVITED PAPER

      Vol:
    E85-C No:5
      Page(s):
    1091-1097

    Ultra shallow low-resistive junction formation has been investigated for sub-100-nm MOSFETs using antimony implantation. The pileup at the Si/SiO2 interface and the resulting dopant loss during annealing is a common obstacle for antimony and arsenic to reduce junction sheet resistance. Though implanted arsenic gives rise to pileup even with a few seconds duration RTA (Rapid Thermal Annealing), antimony pileup was suppressed with the RTA at relatively low temperature, such as 800 or 900. As a result, low sheet resistance of 260 Ω/sq. was obtained for a 24 nm depth junction with antimony. These results indicate that antimony is superior to arsenic as a dopant for ultra shallow extension formation. However, increase in antimony concentration above 11020 cm-3 gives rise to precipitation and it limits the sheet resistance reduction of the antimony doped junctions. Redistribution behaviors of antimony relating to the pileup and the precipitation are discussed utilizing SIMS (Secondary Ion Mass Spectrometry) depth profiles.

  • Laser Doping for Ultra-Shallow Junctions Monitored by Time Resolved Optical Measurements

    Dominique DEBARRE  Gurwan KERRIEN  Takashi NOGUCHI  Jacques BOULMER  

     
    PAPER

      Vol:
    E85-C No:5
      Page(s):
    1098-1103

    Laser induced boron doping of silicon is studied as a function of the laser pulse number and energy density, in a special configuration where the precursor gas (BCl3) is injected and chemisorbed on the Si surface prior to each laser pulse. In-situ optical diagnostics, based on the transient reflectivity at 675 nm, allow to control the evolution of the dopant concentration and of the doped layer thickness during the laser doping process. Samples are characterized by the four-point probe method, atomic force microscopy (AFM) and secondary ion mass spectrometry (SIMS). As the laser pulse number is scanned from 10 to 200 at a constant laser pulse energy, the junction depth increases from 21 to 74 nm while its sheet resistance decreases from 220 to 17 Ω/. Moreover, boron concentrations well above the solubility limit (up to 31021 cm-3 for 200 pulses) and very abrupt box-like dopant profiles are obtained. So, laser doping, in this dopant gas injection configuration, seems to be a very attractive technique to meet the International Technology Roadmap for Semiconductors (ITRS) requirements for ultra-shallow junctions.

  • Evaluation of Two-Dimensional Transient Enhanced Diffusion of Phosphorus during Shallow Junction Formation

    Hisako SATO  Katsumi TSUNENO  Hiroo MASUDA  

     
    PAPER-Process Simulation

      Vol:
    E77-C No:2
      Page(s):
    106-111

    Recently, high-dose implantation and low temperature annealing have become one of the key techniques in shallow junction formation. To fabricate shallow junction in quarter-micron CMOS VLSIs, it is well known being important to evaluate the transient enhanced diffusion (TED) of implanted dopants at low temperature furnace annealing, which is caused by the damages of implantation. We have newly studied the TED phenomena by a compact empirical method. This approach has merits of simplicity and better physical intuition, because we can use only minimal parameters to describe the TED phenomena. The other purpose of this work is to evaluate two-dimensional transient enhanced diffusion focusing on phosphorus implant and furnace annealing. Firstly, we defined effective diffusivity of the TED and determined extraction procedure of the model parameters. Number of the TED model parameters is minimized to two, which describe effective enhanced diffusivity and its activation energy. The parameters have been extracted from SIMS profile data obtained from samples which range 1013-31015 cm-2 and 850-950 for phosphorus implanted dose and annealing temperature, respectively. Simulation results with the extracted transient enhanced diffusion parameters show good agreements well with the SIMS data within 2% RMS-error. Critical doses for phosphorus enhanced diffusion have been determined in 950 annealing condition. No transient enhanced diffusion is observed at 950 under the implant dose of 11013 cm-2. Also the transient enhanced diffusivity is leveled off over the dose of 11014 cm-2. It is seen that the critical dose in TED phenomena might be temperature dependent to a certain extent. We have also verified that two-dimensional effect of the TED phenomena experimentally. Two-dimensional phosphorus n- layer is chosen to verify the simulation. It was concluded that the TED has isotropic nature in phosphorus n- diffusion formation.

  • A Novel CMOS Structure with Polysilicon Source/Drain (PSD) Transistors by Self-Aligned Silicidation

    Masahiro SHIMIZU  Takehisa YAMAGUCHI  Masahide INUISHI  Katsuhiro TSUKAMOTO  

     
    PAPER-Device Technology

      Vol:
    E76-C No:4
      Page(s):
    532-540

    A novel CMOS structure has been developed using Ti-salicide PSD transistor formed by a new self-aligned method. Both N-channel and P-channel PSD transistors exhibit excellent short-channel behaviors down to the sub-half-micrometer region with shallow S/D junctions formed by dopant diffusion from polysilicons. New salicide process has been developed for the PSD structure and can effectively reduce the sheet resistances of the S/D polysilicon and the polysilicon gate to as low as 45Ω/. As a result, the low resistive local interconnects can be successfully implemented by the Ti-salicide S/D polysilicon merged with contacts by self-alignment. More-over it is found that shallow Ti-salicide S/D junctions with the PSD structure can achieve approximately 12 orders of magnitude lower area leakage current than that of the conventional implanted S/D junctions by eliminating implanted damage and preventing penetration of silicide into junctions with the elevated structure of S/D polysilicon layer. Furthermore CMOS ring oscillators having PSD transistors with an effective channel length of 0.4 µm were fabricated using the salicided S/D polysilicon as a local interconnect between the N+ and the P+ regions, and successfully operated with a propagation delay time of 50 ps/stage at a supply voltage of 5 V.