The search functionality is under construction.

Author Search Result

[Author] Kazumi HATAYAMA(8hit)

1-8hit
  • DFT Timing Design Methodology for Logic BIST

    Yasuo SATO  Motoyuki SATO  Koki TSUTSUMIDA  Kazumi HATAYAMA  Kazuyuki NOMOTO  

     
    PAPER-Timing Verification and Test Generation

      Vol:
    E86-A No:12
      Page(s):
    3049-3055

    We analyze the timing design methodology for testing chips using a multiple-clock domain scheme. We especially focus on the layout design of the design-for-test (DFT) circuits and the clock network. First, we demonstrate the built-in-self-testing (BIST) scheme for multiple-clock domains. Then, we discuss the layout method that achieves a low clock-skew between different clock domains with a small modification of the original user logic layout. Finally, we evaluate the fault coverage of our large ASIC chips designed using our new methodology. The short design period and high fault coverage of our methodology are confirmed using actual industrial designs. We introduce a viable approach for industrial designs because designers don't have to pay much attention to DFT. Our approach also provides designers with an easy method for LSI debugging and diagnostics.

  • FOREWORD Open Access

    Kazumi HATAYAMA  Tsuyoshi SHINOGI  

     
    FOREWORD

      Vol:
    E93-D No:1
      Page(s):
    1-1
  • On Acceleration of Test Points Selection for Scan-Based BIST

    Michinobu NAKAO  Kazumi HATAYAMA  Isao HIGASHI  

     
    PAPER-Built-in Self-Test

      Vol:
    E81-D No:7
      Page(s):
    668-674

    This paper presents an acceleration of test points selection for circuits designed by a full-scan based BIST scheme. In order to accelerate the test points selection based on cost minimization, and reflecting random pattern testability, we introduce three techniques, the simultaneous selection of plural test points, the simplified selection of test points by the cost reduction factor, and the reduction of the number of test point candidates. We implement a program based on the proposed techniques and evaluate its efficiency experimentally using large scale circuits (26 k-420 k gates).

  • A Study of Capture-Safe Test Generation Flow for At-Speed Testing

    Kohei MIYASE  Xiaoqing WEN  Seiji KAJIHARA  Yuta YAMATO  Atsushi TAKASHIMA  Hiroshi FURUKAWA  Kenji NODA  Hideaki ITO  Kazumi HATAYAMA  Takashi AIKYO  Kewal K. SALUJA  

     
    PAPER-VLSI Design Technology and CAD

      Vol:
    E93-A No:7
      Page(s):
    1309-1318

    Capture-safety, (defined as the avoidance of timing error due to unduly high launch switching activity in capture mode during at-speed scan testing), is critical in avoiding test induced yield loss. Although several sophisticated techniques are available for reducing capture IR-drop, there are few complete capture-safe test generation flows. This paper addresses the problem by proposing a novel and practical capture-safe test generation flow, featuring (1) a complete capture-safe test generation flow; (2) reliable capture-safety checking; and (3) effective capture-safety improvement by combining X-bit identification & X-filling with low launch-switching-activity test generation. The proposed flow minimizes test data inflation and is compatible with existing automatic test pattern generation (ATPG) flow. The techniques proposed in the flow achieve capture-safety without changing the circuit-under-test or the clocking scheme.

  • High Quality Delay Test Generation Based on Multiple-Threshold Gate-Delay Fault Model

    Michinobu NAKAO  Yoshikazu KIYOSHIGE  Yasuo SATO  Kazumi HATAYAMA  Satoshi FUKUMOTO  Kazuhiko IWASAKI  

     
    PAPER-Test and Diagnosis for Timing Faults

      Vol:
    E85-D No:10
      Page(s):
    1506-1514

    This paper presents a practical fault model for delay testing, called a multiple-threshold gate-delay fault model, to obtain high quality tests that guarantee the detection of delay faults for various extra-delays. Fault efficiencies for multiple thresholds of the extra-delay are introduced as a coverage metric that describes the quality of tests. Our approach guarantees that each gate-delay fault is tested on the path that is almost the longest one passing through the faulty line by using two-pattern tests with pattern-independent timing. We present the procedures of the path selection, fault simulation, and the test generation, where the path-status graph technique is used as not to rely on the enumeration of paths. Experimental results for benchmark circuits demonstrate that the proposed metric gives useful information that transition fault efficiency cannot, and that the proposed test generation can achieve high fault efficiencies for multiple-threshold gate-delay faults.

  • Deterministic Built-in Test with Neighborhood Pattern Generator

    Michinobu NAKAO  Yoshikazu KIYOSHIGE  Koichiro NATSUME  Kazumi HATAYAMA  Satoshi FUKUMOTO  Kazuhiko IWASAKI  

     
    PAPER-Fault Tolerance

      Vol:
    E85-D No:5
      Page(s):
    874-883

    This paper presents a new deterministic built-in test scheme using a neighborhood pattern generator (NPG) to guarantee complete fault efficiency with small test-data storage. The NPG as a decoding logic generates both a parent pattern and deterministic child patterns within a small Hamming distance from the parent pattern. A set of test cubes is encoded as a set of seeds for the NPG. The proposed method is practically acceptable because no impact on a circuit under test is required and the design of the NPG does not require the results of test generation. We also describe an efficient seed generation method for the NPG. Experimental results for benchmark circuits demonstrate that the proposed method can significantly reduce the storage requirements when compared with other deterministic built-in test methods.

  • Distribution-Controlled X-Identification for Effective Reduction of Launch-Induced IR-Drop in At-Speed Scan Testing

    Kohei MIYASE  Kenji NODA  Hideaki ITO  Kazumi HATAYAMA  Takashi AIKYO  Yuta YAMATO  Hiroshi FURUKAWA  Xiaoqing WEN  Seiji KAJIHARA  

     
    PAPER-Dependable Computing

      Vol:
    E94-D No:6
      Page(s):
    1216-1226

    Test data modification based on test relaxation and X-filling is the preferred approach for reducing excessive IR-drop in at-speed scan testing to avoid test-induced yield loss. However, none of the existing test relaxation methods can control the distribution of identified don't care bits (X-bits), thus adversely affecting the effectiveness of IR-drop reduction. In this paper, we propose a novel test relaxation method, called Distribution-Controlled X-Identification (DC-XID), which controls the distribution of X-bits identified in a set of fully-specified test vectors for the purpose of effectively reducing IR-drop. Experiments on large industrial circuits demonstrate the effectiveness and practicality of the proposed method in reducing IR-drop, without lowering fault coverage, increasing test data volume and circuit size.

  • Application of High Quality Built-in Test Using Neighborhood Pattern Generator to Industrial Designs

    Kazumi HATAYAMA  Michinobu NAKAO  Yoshikazu KIYOSHIGE  Koichiro NATSUME  Yasuo SATO  Takaharu NAGUMO  

     
    LETTER-Test

      Vol:
    E87-A No:12
      Page(s):
    3318-3323

    This letter presents a practical approach for high-quality built-in test using a test pattern generator called neighborhood pattern generator (NPG). NPG is practical mainly because its structure is independent of circuit under test and it can realize high fault coverage not only for stuck-at faults but also for transition faults. Some techniques are also proposed for further improvement in practical applicability of NPG. Experimental results for large industrial circuits illustrate the efficiency of the proposed approach.