The search functionality is under construction.

Author Search Result

[Author] Xiaoqing WEN(22hit)

1-20hit(22hit)

  • A Per-Test Fault Diagnosis Method Based on the X-Fault Model

    Xiaoqing WEN  Seiji KAJIHARA  Kohei MIYASE  Yuta YAMATO  Kewal K. SALUJA  Laung-Terng WANG  Kozo KINOSHITA  

     
    PAPER-Dependable Computing

      Vol:
    E89-D No:11
      Page(s):
    2756-2765

    This paper proposes a new per-test fault diagnosis method based on the X-fault model. The X-fault model can represent all possible faulty behaviors of a physical defect or defects in a gate and/or on its fanout branches by assigning different X symbols assigned to the fanout branches. A partial symbolic fault simulation method is proposed for the X-fault model. Then, a novel technique is proposed for extracting more diagnostic information by analyzing matching details between observed and simulated responses. Furthermore, a unique method is proposed to score the results of fault diagnosis. Experimental results on benchmark circuits demonstrate the superiority of the proposed method over conventional per-test fault diagnosis based on the stuck-at fault model.

  • Logic-Path-and-Clock-Path-Aware At-Speed Scan Test Generation

    Fuqiang LI  Xiaoqing WEN  Kohei MIYASE  Stefan HOLST  Seiji KAJIHARA  

     
    PAPER

      Vol:
    E99-A No:12
      Page(s):
    2310-2319

    Excessive IR-drop in capture mode during at-speed scan testing may cause timing errors for defect-free circuits, resulting in undue test yield loss. Previous solutions for achieving capture-power-safety adjust the switching activity around logic paths, especially long sensitized paths, in order to reduce the impact of IR-drop. However, those solutions ignore the impact of IR-drop on clock paths, namely test clock stretch; as a result, they cannot accurately achieve capture-power-safety. This paper proposes a novel scheme, called LP-CP-aware ATPG, for generating high-quality capture-power-safe at-speed scan test vectors by taking into consideration the switching activity around both logic and clock paths. This scheme features (1) LP-CP-aware path classification for characterizing long sensitized paths by considering the IR-drop impact on both logic and clock paths; (2) LP-CP-aware X-restoration for obtaining more effective X-bits by backtracing from both logic and clock paths; (3) LP-CP-aware X-filling for using different strategies according to the positions of X-bits in test cubes. Experimental results on large benchmark circuits demonstrate the advantages of LP-CP-aware ATPG, which can more accurately achieve capture-power-safety without significant test vector count inflation and test quality loss.

  • On the Efficacy of Scan Chain Grouping for Mitigating IR-Drop-Induced Test Data Corruption

    Yucong ZHANG  Stefan HOLST  Xiaoqing WEN  Kohei MIYASE  Seiji KAJIHARA  Jun QIAN  

     
    PAPER-Dependable Computing

      Pubricized:
    2021/03/08
      Vol:
    E104-D No:6
      Page(s):
    816-827

    Loading test vectors and unloading test responses in shift mode during scan testing cause many scan flip-flops to switch simultaneously. The resulting shift switching activity around scan flip-flops can cause excessive local IR-drop that can change the states of some scan flip-flops, leading to test data corruption. A common approach solving this problem is partial-shift, in which multiple scan chains are formed and only one group of the scan chains is shifted at a time. However, previous methods based on this approach use random grouping, which may reduce global shift switching activity, but may not be optimized to reduce local shift switching activity, resulting in remaining high risk of test data corruption even when partial-shift is applied. This paper proposes novel algorithms (one optimal and one heuristic) to group scan chains, focusing on reducing local shift switching activity around scan flip-flops, thus reducing the risk of test data corruption. Experimental results on all large ITC'99 benchmark circuits demonstrate the effectiveness of the proposed optimal and heuristic algorithms as well as the scalability of the heuristic algorithm.

  • Reseeding-Oriented Test Power Reduction for Linear-Decompression-Based Test Compression Architectures

    Tian CHEN  Dandan SHEN  Xin YI  Huaguo LIANG  Xiaoqing WEN  Wei WANG  

     
    PAPER-Computer System

      Pubricized:
    2016/07/25
      Vol:
    E99-D No:11
      Page(s):
    2672-2681

    Linear feedback shift register (LFSR) reseeding is an effective method for test data reduction. However, the test patterns generated by LFSR reseeding generally have high toggle rate and thus cause high test power. Therefore, it is feasible to fill X bits in deterministic test cubes with 0 or 1 properly before encoding the seed to reduce toggle rate. However, X-filling will increase the number of specified bits, thus increase the difficulty of seed encoding, what's more, the size of LFSR will increase as well. This paper presents a test frame which takes into consideration both compression ratio and power consumption simultaneously. In the first stage, the proposed reseeding-oriented X-filling proceeds for shift power (shift filling) and capture power (capture filling) reduction. Then, encode the filled test cubes using the proposed Compatible Block Code (CBC). The CBC can X-ize specified bits, namely turning specified bits into X bits, and can resolve the conflict between low-power filling and seed encoding. Experiments performed on ISCAS'89 benchmark circuits show that our scheme attains a compression ratio of 94.1% and reduces capture power by at least 15% and scan-in power by more than 79.5%.

  • On Detection of Bridge Defects with Stuck-at Tests

    Kohei MIYASE  Kenta TERASHIMA  Xiaoqing WEN  Seiji KAJIHARA  Sudhakar M. REDDY  

     
    PAPER-Defect-Based Testing

      Vol:
    E91-D No:3
      Page(s):
    683-689

    If a test set for more complex faults than stuck-at faults is generated, higher defect coverage would be obtained. Such a test set, however, would have a large number of test vectors, and hence the test costs would go up. In this paper we propose a method to detect bridge defects with a test set initially generated for stuck-at faults in a full scan sequential circuit. The proposed method doesn't add new test vectors to the test set but modifies test vectors. Therefore there are no negative impacts on test data volume and test application time. The initial fault coverage for stuck-at faults of the test set is guaranteed with modified test vectors. In this paper we focus on detecting as many as possible non-feedback AND-type, OR-type and 4-way bridging faults, respectively. Experimental results show that the proposed method increases the defect coverage.

  • A GA-Based X-Filling for Reducing Launch Switching Activity toward Specific Objectives in At-Speed Scan Testing

    Yuta YAMATO  Xiaoqing WEN  Kohei MIYASE  Hiroshi FURUKAWA  Seiji KAJIHARA  

     
    PAPER-Dependable Computing

      Vol:
    E94-D No:4
      Page(s):
    833-840

    Power-aware X-filling is a preferable approach to avoiding IR-drop-induced yield loss in at-speed scan testing. However, the ability of previous X-filling methods to reduce launch switching activity may be unsatisfactory, due to low effect (insufficient and global-only reduction) and/or low scalability (long CPU time). This paper addresses this reduction quality problem with a novel GA (Genetic Algorithm) based X-filling method, called GA-fill. Its goals are (1) to achieve both effectiveness and scalability in a more balanced manner and (2) to make the reduction effect of launch switching activity more concentrated on critical areas that have higher impact on IR-drop-induced yield loss. Evaluation experiments are being conducted on both benchmark and industrial circuits, and the results have demonstrated the usefulness of GA-fill.

  • On Design for IDDQ-Based Diagnosability of CMOS Circuits Using Multiple Power Supplies

    Xiaoqing WEN  Seiji KAJIHARA  Hideo TAMAMOTO  Kewal K. SALUJA  Kozo KINOSHITA  

     
    PAPER-Computer Components

      Vol:
    E88-D No:4
      Page(s):
    703-710

    This paper presents a novel approach to improving the IDDQ-based diagnosability of a CMOS circuit by dividing the circuit into independent partitions and using a separate power supply for each partition. This technique makes it possible to implement multiple IDDQ measurement points, resulting in improved IDDQ-based diagnosability. The paper formalizes the problem of partitioning a circuit for this purpose and proposes optimal and heuristic based solutions. The effectiveness of the proposed approach is demonstrated through experimental results.

  • Distribution-Controlled X-Identification for Effective Reduction of Launch-Induced IR-Drop in At-Speed Scan Testing

    Kohei MIYASE  Kenji NODA  Hideaki ITO  Kazumi HATAYAMA  Takashi AIKYO  Yuta YAMATO  Hiroshi FURUKAWA  Xiaoqing WEN  Seiji KAJIHARA  

     
    PAPER-Dependable Computing

      Vol:
    E94-D No:6
      Page(s):
    1216-1226

    Test data modification based on test relaxation and X-filling is the preferred approach for reducing excessive IR-drop in at-speed scan testing to avoid test-induced yield loss. However, none of the existing test relaxation methods can control the distribution of identified don't care bits (X-bits), thus adversely affecting the effectiveness of IR-drop reduction. In this paper, we propose a novel test relaxation method, called Distribution-Controlled X-Identification (DC-XID), which controls the distribution of X-bits identified in a set of fully-specified test vectors for the purpose of effectively reducing IR-drop. Experiments on large industrial circuits demonstrate the effectiveness and practicality of the proposed method in reducing IR-drop, without lowering fault coverage, increasing test data volume and circuit size.

  • A Novel ATPG Method for Capture Power Reduction during Scan Testing

    Xiaoqing WEN  Seiji KAJIHARA  Kohei MIYASE  Tatsuya SUZUKI  Kewal K. SALUJA  Laung-Terng WANG  Kozo KINOSHITA  

     
    PAPER-Dependable Computing

      Vol:
    E90-D No:9
      Page(s):
    1398-1405

    High power dissipation can occur when the response to a test vector is captured by flip-flops in scan testing, resulting in excessive IR drop, which may cause significant capture-induced yield loss in the DSM era. This paper addresses this serious problem with a novel test generation method, featuring a unique algorithm that deterministically generates test cubes not only for fault detection but also for capture power reduction. Compared with previous methods that passively conduct X-filling for unspecified bits in test cubes generated only for fault detection, the new method achieves more capture power reduction with less test set inflation. Experimental results show its effectiveness.

  • Wrapper Scan Chains Design for Rapid and Low Power Testing of Embedded Cores

    Yinhe HAN  Yu HU  Xiaowei LI  Huawei LI  Anshuman CHANDRA  Xiaoqing WEN  

     
    PAPER-Dependable Computing

      Vol:
    E88-D No:9
      Page(s):
    2126-2134

    Connection of internal scan chains in core wrapper design (CWD) is necessary to handle the width match of TAM and internal scan chains. However, conventional serial connection of internal scan chains incurs power and time penalty. Study shows that the distribution and high density of don't care bits (X-bits) in test patterns make scan slices overlapping and partial overlapping possible. A novel parallel CWD (pCWD) approach is presented in this paper for lowering test power by shortening wrapper scan chains and adjusting test patterns. In order to achieve shift time reduction from overlapping in pCWD, a two-phase process on test pattern: partition and fill, is presented. Experimental results on d695 of ITC2002 benchmark demonstrated the shift time and test power have been decreased by 1.5 and 15 times, respectively. In addition, the proposed pCWD can be used as a stand-alone time reduction technique, which has better performance than previous techniques.

  • GPU-Accelerated Estimation and Targeted Reduction of Peak IR-Drop during Scan Chain Shifting

    Shiling SHI  Stefan HOLST  Xiaoqing WEN  

     
    PAPER-Dependable Computing

      Pubricized:
    2023/07/07
      Vol:
    E106-D No:10
      Page(s):
    1694-1704

    High power dissipation during scan test often causes undue yield loss, especially for low-power circuits. One major reason is that the resulting IR-drop in shift mode may corrupt test data. A common approach to solving this problem is partial-shift, in which multiple scan chains are formed and only one group of scan chains is shifted at a time. However, existing partial-shift based methods suffer from two major problems: (1) their IR-drop estimation is not accurate enough or computationally too expensive to be done for each shift cycle; (2) partial-shift is hence applied to all shift cycles, resulting in long test time. This paper addresses these two problems with a novel IR-drop-aware scan shift method, featuring: (1) Cycle-based IR-Drop Estimation (CIDE) supported by a GPU-accelerated dynamic power simulator to quickly find potential shift cycles with excessive peak IR-drop; (2) a scan shift scheduling method that generates a scan chain grouping targeted for each considered shift cycle to reduce the impact on test time. Experiments on ITC'99 benchmark circuits show that: (1) the CIDE is computationally feasible; (2) the proposed scan shift schedule can achieve a global peak IR-drop reduction of up to 47%. Its scheduling efficiency is 58.4% higher than that of an existing typical method on average, which means our method has less test time.

  • High Launch Switching Activity Reduction in At-Speed Scan Testing Using CTX: A Clock-Gating-Based Test Relaxation and X-Filling Scheme

    Kohei MIYASE  Xiaoqing WEN  Hiroshi FURUKAWA  Yuta YAMATO  Seiji KAJIHARA  Patrick GIRARD  Laung-Terng WANG  Mohammad TEHRANIPOOR  

     
    PAPER

      Vol:
    E93-D No:1
      Page(s):
    2-9

    At-speed scan testing is susceptible to yield loss risk due to power supply noise caused by excessive launch switching activity. This paper proposes a novel two-stage scheme, namely CTX (Clock-Gating-Based Test Relaxation and X-Filling), for reducing switching activity when a test stimulus is launched. Test relaxation and X-filling are conducted (1) to make as many FFs as possible inactive by disabling corresponding clock control signals of clock-gating circuitry in Stage-1 (Clock-Disabling), and (2) to equalize the input and output values in Stage-2 of as many remaining active FFs as possible (FF-Silencing). CTX effectively reduces launch switching activity and thus yield loss risk even when only a small number of don't care (X) bits are present (as in test compression) without any impact on test data volume, fault coverage, performance, or circuit design.

  • Evaluation and Test of Production Defects in Hardened Latches

    Ruijun MA  Stefan HOLST  Xiaoqing WEN  Aibin YAN  Hui XU  

     
    PAPER-Dependable Computing

      Pubricized:
    2022/02/07
      Vol:
    E105-D No:5
      Page(s):
    996-1009

    As modern CMOS circuits fabricated with advanced technology nodes are becoming more and more susceptible to soft-errors, many hardened latches have been proposed for reliable LSI designs. We reveal for the first time that production defects in such hardened latches can cause two serious problems: (1) these production defects are difficult to detect with conventional scan test and (2) these production defects can reduce the reliability of hardened latches. This paper systematically addresses these two problems with three major contributions: (1) Post-Test Vulnerability Factor (PTVF), a first-of-its-kind metric for quantifying the impact of production defects on hardened latches, (2) a novel Scan-Test-Aware Hardened Latch (STAHL) design that has the highest defect coverage compared to state-of-the-art hardened latch designs, and (3) an STAHL-based scan test procedure. Comprehensive simulation results demonstrate the accuracy of the proposed PTVF metric and the effectiveness of the STAHL-based scan test. As the first comprehensive study bridging the gap between hardened latch design and LSI testing, the findings of this paper will significantly improve the soft-error-related reliability of LSI designs for safety-critical applications.

  • On Achieving Capture Power Safety in At-Speed Scan-Based Logic BIST

    Akihiro TOMITA  Xiaoqing WEN  Yasuo SATO  Seiji KAJIHARA  Kohei MIYASE  Stefan HOLST  Patrick GIRARD  Mohammad TEHRANIPOOR  Laung-Terng WANG  

     
    PAPER-Dependable Computing

      Vol:
    E97-D No:10
      Page(s):
    2706-2718

    The applicability of at-speed scan-based logic built-in self-test (BIST) is being severely challenged by excessive capture power that may cause erroneous test responses even for good circuits. Different from conventional low-power BIST, this paper is the first to explicitly focus on achieving capture power safety with a novel and practical scheme, called capture-power-safe logic BIST (CPS-LBIST). The basic idea is to identify all possibly-erroneous test responses caused by excessive capture power and use the well-known approach of masking (bit-masking, slice-masking,vector-masking) to block them from reaching the multiple-input signature register(MISR). Experiments with large benchmark circuits and a large industrial circuit demonstrate that CPS-LBIST can achieve capture power safety with negligible impact on test quality and circuit overhead.

  • A Capture-Safety Checking Metric Based on Transition-Time-Relation for At-Speed Scan Testing

    Kohei MIYASE  Ryota SAKAI  Xiaoqing WEN  Masao ASO  Hiroshi FURUKAWA  Yuta YAMATO  Seiji KAJIHARA  

     
    PAPER

      Vol:
    E96-D No:9
      Page(s):
    2003-2011

    Test power has become a critical issue, especially for low-power devices with deeply optimized functional power profiles. Particularly, excessive capture power in at-speed scan testing may cause timing failures that result in test-induced yield loss. This has made capture-safety checking mandatory for test vectors. However, previous capture-safety checking metrics suffer from inadequate accuracy since they ignore the time relations among different transitions caused by a test vector in a circuit. This paper presents a novel metric called the Transition-Time-Relation-based (TTR) metric which takes transition time relations into consideration in capture-safety checking. Detailed analysis done on an industrial circuit has demonstrated the advantages of the TTR metric. Capture-safety checking with the TTR metric greatly improves the accuracy of test vector sign-off and low-capture-power test generation.

  • A New Method for Low-Capture-Power Test Generation for Scan Testing

    Xiaoqing WEN  Yoshiyuki YAMASHITA  Seiji KAJIHARA  Laung-Terng WANG  Kewal K. SALUJA  Kozo KINOSHITA  

     
    PAPER-Dependable Computing

      Vol:
    E89-D No:5
      Page(s):
    1679-1686

    Research on low-power scan testing has been focused on the shift mode, with little consideration given to the capture mode power. However, high switching activity when capturing a test response can cause excessive IR-drop, resulting in significant yield loss due to faulty test results. This paper addresses this problem with a novel low-capture-power X-filling method by assigning 0's and 1's to unspecified bits (X-bits) in a test cube to reduce the switching activity in capture mode. This method can be easily incorporated into any test generation flow, where test cubes can be obtained during ATPG or by X-bit identification. Experimental results show the effectiveness of this method in reducing capture power dissipation without any impact on area, timing, and fault coverage.

  • A Novel Per-Test Fault Diagnosis Method Based on the Extended X-Fault Model for Deep-Submicron LSI Circuits

    Yuta YAMATO  Yusuke NAKAMURA  Kohei MIYASE  Xiaoqing WEN  Seiji KAJIHARA  

     
    PAPER-Fault Diagnosis

      Vol:
    E91-D No:3
      Page(s):
    667-674

    Per-test diagnosis based on the X-fault model is an effective approach for a circuit with physical defects of non-deterministic logic behavior. However, the extensive use of vias and buffers in a deep-submicron circuit and the unpredictable order relation among threshold voltages at the fanout branches of a gate have not been fully addressed by conventional per-test X-fault diagnosis. To take these factors into consideration, this paper proposes an improved per-test X-fault diagnosis method, featuring (1) an extended X-fault model to handle vias and buffers and (2) the use of occurrence probabilities of logic behaviors for a physical defect to handle the unpredictable relation among threshold voltages. Experimental results show the effectiveness of the proposed method.

  • Testing of k-FR Circuits under Highly Observable Condition

    Xiaoqing WEN  Hideo TAMAMOTO  Kozo KINOSHITA  

     
    PAPER

      Vol:
    E78-D No:7
      Page(s):
    830-838

    This paper presents the concept of k-FR circuits. The controllability of such a circuit is high due to its special structure. It is shown that all stuck-at faults and stuck-open faults in a k-FR circuit can be detected and located by k(k1)1 test vectors under the highly observable condition which assumes the output of every gate to be observable. k is usually two or three. This paper also presents an algorithm for converting an arbitrary combinational circuit into a k-FR circuit. A k-FR circuit is easy to test when using technologies such as the electron-beam probing, the current measurement, or the CrossCheck testability solution.

  • Improving Random Pattern Testability with Partial Circuit Duplication Approach

    Hiroshi YOKOYAMA  Xiaoqing WEN  Hideo TAMAMOTO  

     
    PAPER-Design for Testability

      Vol:
    E81-D No:7
      Page(s):
    654-659

    The advantage of random testing is that test application can be performed at a low cost in the BIST scheme. However, not all circuits are random pattern testable due to the existence of random pattern resistant faults. In this paper, we present a method for improving the random pattern testability of logic circuits by partial circuit duplication approach. The basic idea is to detect random pattern resistant faults by using the difference between the duplicated part of a circuit and the original part. Experimental results on benchmark circuits show that high fault coverage can be achieved with a very small amount of hardware overhead.

  • Transistor Leakage Fault Diagnosis for CMOS Circuits

    Xiaoqing WEN  Hideo TAMAMOTO  Kewal K. SALUJA  Kozo KINOSHITA  

     
    PAPER-Fault Diagnosis

      Vol:
    E81-D No:7
      Page(s):
    697-705

    This paper presents a new methodology for diagnosing transistor leakage faults in a CMOS circuit by using both IDDQ and logic value information. A hierarchical procedure is used to identify and delete impossible fault candidates efficiently and a procedure is employed to generate diagnostic tests for improving diagnostic resolution. A novel approach for handling the intermediate output voltage of a faulty gate is used in new methods for fault simulation and diagnostic test generation based on primary output values. Experimental results on ISCAS85 circuits show the effectiveness of the proposed methodology.

1-20hit(22hit)