The search functionality is under construction.

Author Search Result

[Author] Laung-Terng WANG(5hit)

1-5hit
  • A Novel ATPG Method for Capture Power Reduction during Scan Testing

    Xiaoqing WEN  Seiji KAJIHARA  Kohei MIYASE  Tatsuya SUZUKI  Kewal K. SALUJA  Laung-Terng WANG  Kozo KINOSHITA  

     
    PAPER-Dependable Computing

      Vol:
    E90-D No:9
      Page(s):
    1398-1405

    High power dissipation can occur when the response to a test vector is captured by flip-flops in scan testing, resulting in excessive IR drop, which may cause significant capture-induced yield loss in the DSM era. This paper addresses this serious problem with a novel test generation method, featuring a unique algorithm that deterministically generates test cubes not only for fault detection but also for capture power reduction. Compared with previous methods that passively conduct X-filling for unspecified bits in test cubes generated only for fault detection, the new method achieves more capture power reduction with less test set inflation. Experimental results show its effectiveness.

  • High Launch Switching Activity Reduction in At-Speed Scan Testing Using CTX: A Clock-Gating-Based Test Relaxation and X-Filling Scheme

    Kohei MIYASE  Xiaoqing WEN  Hiroshi FURUKAWA  Yuta YAMATO  Seiji KAJIHARA  Patrick GIRARD  Laung-Terng WANG  Mohammad TEHRANIPOOR  

     
    PAPER

      Vol:
    E93-D No:1
      Page(s):
    2-9

    At-speed scan testing is susceptible to yield loss risk due to power supply noise caused by excessive launch switching activity. This paper proposes a novel two-stage scheme, namely CTX (Clock-Gating-Based Test Relaxation and X-Filling), for reducing switching activity when a test stimulus is launched. Test relaxation and X-filling are conducted (1) to make as many FFs as possible inactive by disabling corresponding clock control signals of clock-gating circuitry in Stage-1 (Clock-Disabling), and (2) to equalize the input and output values in Stage-2 of as many remaining active FFs as possible (FF-Silencing). CTX effectively reduces launch switching activity and thus yield loss risk even when only a small number of don't care (X) bits are present (as in test compression) without any impact on test data volume, fault coverage, performance, or circuit design.

  • On Achieving Capture Power Safety in At-Speed Scan-Based Logic BIST

    Akihiro TOMITA  Xiaoqing WEN  Yasuo SATO  Seiji KAJIHARA  Kohei MIYASE  Stefan HOLST  Patrick GIRARD  Mohammad TEHRANIPOOR  Laung-Terng WANG  

     
    PAPER-Dependable Computing

      Vol:
    E97-D No:10
      Page(s):
    2706-2718

    The applicability of at-speed scan-based logic built-in self-test (BIST) is being severely challenged by excessive capture power that may cause erroneous test responses even for good circuits. Different from conventional low-power BIST, this paper is the first to explicitly focus on achieving capture power safety with a novel and practical scheme, called capture-power-safe logic BIST (CPS-LBIST). The basic idea is to identify all possibly-erroneous test responses caused by excessive capture power and use the well-known approach of masking (bit-masking, slice-masking,vector-masking) to block them from reaching the multiple-input signature register(MISR). Experiments with large benchmark circuits and a large industrial circuit demonstrate that CPS-LBIST can achieve capture power safety with negligible impact on test quality and circuit overhead.

  • A New Method for Low-Capture-Power Test Generation for Scan Testing

    Xiaoqing WEN  Yoshiyuki YAMASHITA  Seiji KAJIHARA  Laung-Terng WANG  Kewal K. SALUJA  Kozo KINOSHITA  

     
    PAPER-Dependable Computing

      Vol:
    E89-D No:5
      Page(s):
    1679-1686

    Research on low-power scan testing has been focused on the shift mode, with little consideration given to the capture mode power. However, high switching activity when capturing a test response can cause excessive IR-drop, resulting in significant yield loss due to faulty test results. This paper addresses this problem with a novel low-capture-power X-filling method by assigning 0's and 1's to unspecified bits (X-bits) in a test cube to reduce the switching activity in capture mode. This method can be easily incorporated into any test generation flow, where test cubes can be obtained during ATPG or by X-bit identification. Experimental results show the effectiveness of this method in reducing capture power dissipation without any impact on area, timing, and fault coverage.

  • A Per-Test Fault Diagnosis Method Based on the X-Fault Model

    Xiaoqing WEN  Seiji KAJIHARA  Kohei MIYASE  Yuta YAMATO  Kewal K. SALUJA  Laung-Terng WANG  Kozo KINOSHITA  

     
    PAPER-Dependable Computing

      Vol:
    E89-D No:11
      Page(s):
    2756-2765

    This paper proposes a new per-test fault diagnosis method based on the X-fault model. The X-fault model can represent all possible faulty behaviors of a physical defect or defects in a gate and/or on its fanout branches by assigning different X symbols assigned to the fanout branches. A partial symbolic fault simulation method is proposed for the X-fault model. Then, a novel technique is proposed for extracting more diagnostic information by analyzing matching details between observed and simulated responses. Furthermore, a unique method is proposed to score the results of fault diagnosis. Experimental results on benchmark circuits demonstrate the superiority of the proposed method over conventional per-test fault diagnosis based on the stuck-at fault model.