The search functionality is under construction.

Author Search Result

[Author] Seiji KAJIHARA(28hit)

1-20hit(28hit)

  • A Study of Capture-Safe Test Generation Flow for At-Speed Testing

    Kohei MIYASE  Xiaoqing WEN  Seiji KAJIHARA  Yuta YAMATO  Atsushi TAKASHIMA  Hiroshi FURUKAWA  Kenji NODA  Hideaki ITO  Kazumi HATAYAMA  Takashi AIKYO  Kewal K. SALUJA  

     
    PAPER-VLSI Design Technology and CAD

      Vol:
    E93-A No:7
      Page(s):
    1309-1318

    Capture-safety, (defined as the avoidance of timing error due to unduly high launch switching activity in capture mode during at-speed scan testing), is critical in avoiding test induced yield loss. Although several sophisticated techniques are available for reducing capture IR-drop, there are few complete capture-safe test generation flows. This paper addresses the problem by proposing a novel and practical capture-safe test generation flow, featuring (1) a complete capture-safe test generation flow; (2) reliable capture-safety checking; and (3) effective capture-safety improvement by combining X-bit identification & X-filling with low launch-switching-activity test generation. The proposed flow minimizes test data inflation and is compatible with existing automatic test pattern generation (ATPG) flow. The techniques proposed in the flow achieve capture-safety without changing the circuit-under-test or the clocking scheme.

  • Average Power Reduction in Scan Testing by Test Vector Modification

    Seiji KAJIHARA  Koji ISHIDA  Kohei MIYASE  

     
    PAPER-Test Generation and Modification

      Vol:
    E85-D No:10
      Page(s):
    1483-1489

    This paper presents a test vector modification method for reducing average power dissipation during test application for a full-scan circuit. The method first identifies a set of don't care (X) inputs of given test vectors, to which either logic value 0 or 1 can be assigned without losing fault coverage. Then, the method reassigns logic values to the X inputs so as to decrease switching activity of the circuit during scan shifting. Experimental results for benchmark circuits show the proposed method could decrease switching activity of a given test set to 45% of the original test sets in average.

  • Retiming for Sequential Circuits with a Specified Initial State and Its Application to Testability Enhancement

    Hiroyuki YOTSUYANAGI  Seiji KAJIHARA  Kozo KINOSHITA  

     
    PAPER

      Vol:
    E78-D No:7
      Page(s):
    861-867

    Retiming is a technique to resynthesize a synchronous sequential circuit by rearranging flip-flops. In view of logic optimization, retiming can potentially derive a circuit which is more simplified and testable because retiming can convert several sequential redundancies into combinational redundancies. Retiming methods proposed before have no guarantee to generate the same output sequences when the circuit start from a specified initial state such as the reset state. If the circuit with a specified initial state must have the same output sequences after retiming, rearrangement of flip-flops should be restricted. This paper presents a retiming method for circuits with a specified initial state so that retimed circuits give the same output sequences of the original circuits for any input sequences. In the proposed method, during the procedure of retiming each flip-flop keeps a value corresponding to the initial state and unification of flip-flops with different value is avoided. Our procedures uses 5-valued logic on gate level implementation to describe and calculate the values of flip-flops. Therefore after optimization using our method, the circuit has completely the same behavior as that of the original. Experimental results for ISCAS'89 benchmark circuits show the method can be used to optimize the circuits as well as a method without considering the initial state. And testability of the retimed circuit is more enhanced than that of the original circuit.

  • A Per-Test Fault Diagnosis Method Based on the X-Fault Model

    Xiaoqing WEN  Seiji KAJIHARA  Kohei MIYASE  Yuta YAMATO  Kewal K. SALUJA  Laung-Terng WANG  Kozo KINOSHITA  

     
    PAPER-Dependable Computing

      Vol:
    E89-D No:11
      Page(s):
    2756-2765

    This paper proposes a new per-test fault diagnosis method based on the X-fault model. The X-fault model can represent all possible faulty behaviors of a physical defect or defects in a gate and/or on its fanout branches by assigning different X symbols assigned to the fanout branches. A partial symbolic fault simulation method is proposed for the X-fault model. Then, a novel technique is proposed for extracting more diagnostic information by analyzing matching details between observed and simulated responses. Furthermore, a unique method is proposed to score the results of fault diagnosis. Experimental results on benchmark circuits demonstrate the superiority of the proposed method over conventional per-test fault diagnosis based on the stuck-at fault model.

  • On Processing Order for Obtaining Implication Relations in Static Learning

    Hideyuki ICHIHARA  Seiji KAJIHARA  Kozo KINOSHITA  

     
    LETTER-Fault Tolerance

      Vol:
    E83-D No:10
      Page(s):
    1908-1911

    Static learning is a procedure to extract implication relations of a logic circuit. In this paper we point out that the number of the extracted implication relations by static learning depends on the order of signal lines processed. Also, we show four procedures for ordering signal lines processed and the effectiveness of the ordering procedures by experiments.

  • Logic-Path-and-Clock-Path-Aware At-Speed Scan Test Generation

    Fuqiang LI  Xiaoqing WEN  Kohei MIYASE  Stefan HOLST  Seiji KAJIHARA  

     
    PAPER

      Vol:
    E99-A No:12
      Page(s):
    2310-2319

    Excessive IR-drop in capture mode during at-speed scan testing may cause timing errors for defect-free circuits, resulting in undue test yield loss. Previous solutions for achieving capture-power-safety adjust the switching activity around logic paths, especially long sensitized paths, in order to reduce the impact of IR-drop. However, those solutions ignore the impact of IR-drop on clock paths, namely test clock stretch; as a result, they cannot accurately achieve capture-power-safety. This paper proposes a novel scheme, called LP-CP-aware ATPG, for generating high-quality capture-power-safe at-speed scan test vectors by taking into consideration the switching activity around both logic and clock paths. This scheme features (1) LP-CP-aware path classification for characterizing long sensitized paths by considering the IR-drop impact on both logic and clock paths; (2) LP-CP-aware X-restoration for obtaining more effective X-bits by backtracing from both logic and clock paths; (3) LP-CP-aware X-filling for using different strategies according to the positions of X-bits in test cubes. Experimental results on large benchmark circuits demonstrate the advantages of LP-CP-aware ATPG, which can more accurately achieve capture-power-safety without significant test vector count inflation and test quality loss.

  • Evaluation of Delay Testing Based on Path Selection

    Masayasu FUKUNAGA  Seiji KAJIHARA  Sadami TAKEOKA  Shinichi YOSHIMURA  

     
    LETTER-Timing Verification and Test Generation

      Vol:
    E86-A No:12
      Page(s):
    3208-3210

    Since a logic circuit often has too many paths to test delay of all paths, it is necessary for path delay testing to limit the number of paths to be tested. The paths to be tested should have large delay because such paths more likely cause a fault. Additionally, a test set for the paths are required to detect other models of faults as many as possible. In this paper, we investigate two typical criteria of path selection for path delay testing. From our experiments, we observe that test patterns for the longest paths cannot cover many local delay defects such as transition faults.

  • On the Efficacy of Scan Chain Grouping for Mitigating IR-Drop-Induced Test Data Corruption

    Yucong ZHANG  Stefan HOLST  Xiaoqing WEN  Kohei MIYASE  Seiji KAJIHARA  Jun QIAN  

     
    PAPER-Dependable Computing

      Pubricized:
    2021/03/08
      Vol:
    E104-D No:6
      Page(s):
    816-827

    Loading test vectors and unloading test responses in shift mode during scan testing cause many scan flip-flops to switch simultaneously. The resulting shift switching activity around scan flip-flops can cause excessive local IR-drop that can change the states of some scan flip-flops, leading to test data corruption. A common approach solving this problem is partial-shift, in which multiple scan chains are formed and only one group of the scan chains is shifted at a time. However, previous methods based on this approach use random grouping, which may reduce global shift switching activity, but may not be optimized to reduce local shift switching activity, resulting in remaining high risk of test data corruption even when partial-shift is applied. This paper proposes novel algorithms (one optimal and one heuristic) to group scan chains, focusing on reducing local shift switching activity around scan flip-flops, thus reducing the risk of test data corruption. Experimental results on all large ITC'99 benchmark circuits demonstrate the effectiveness of the proposed optimal and heuristic algorithms as well as the scalability of the heuristic algorithm.

  • Don't Care Identification and Statistical Encoding for Test Data Compression

    Seiji KAJIHARA  Kenjiro TANIGUCHI  Kohei MIYASE  Irith POMERANZ  Sudhakar M. REDDY  

     
    PAPER-Test Generation and Compaction

      Vol:
    E87-D No:3
      Page(s):
    544-550

    This paper describes a method of test data compression for a given test set using statistical encoding. In order to maximize the effectiveness of statistical encoding, the method first converts some specified input values in the test set to unspecified ones without losing fault coverage, and then reassigns appropriate logic values to the unspecified inputs. Experimental results for ISCAS-89 benchmark circuits show that the proposed method can on the average reduce the test data volume to less than 25% of that required for the original test set.

  • On Detection of Bridge Defects with Stuck-at Tests

    Kohei MIYASE  Kenta TERASHIMA  Xiaoqing WEN  Seiji KAJIHARA  Sudhakar M. REDDY  

     
    PAPER-Defect-Based Testing

      Vol:
    E91-D No:3
      Page(s):
    683-689

    If a test set for more complex faults than stuck-at faults is generated, higher defect coverage would be obtained. Such a test set, however, would have a large number of test vectors, and hence the test costs would go up. In this paper we propose a method to detect bridge defects with a test set initially generated for stuck-at faults in a full scan sequential circuit. The proposed method doesn't add new test vectors to the test set but modifies test vectors. Therefore there are no negative impacts on test data volume and test application time. The initial fault coverage for stuck-at faults of the test set is guaranteed with modified test vectors. In this paper we focus on detecting as many as possible non-feedback AND-type, OR-type and 4-way bridging faults, respectively. Experimental results show that the proposed method increases the defect coverage.

  • Scan-Out Power Reduction for Logic BIST

    Senling WANG  Yasuo SATO  Seiji KAJIHARA  Kohei MIYASE  

     
    PAPER

      Vol:
    E96-D No:9
      Page(s):
    2012-2020

    In this paper we propose a novel method to reduce power consumption during scan testing caused by test responses at scan-out operation for logic BIST. The proposed method overwrites some flip-flops (FFs) values before starting scan-shift so as to reduce the switching activity at scan-out operation. In order to relax the fault coverage loss caused by filling new FF values before observing the capture values at the FFs, the method employs multi-cycle scan test with partial observation. For deriving larger scan-out power reduction with less fault coverage loss and preventing hardware overhead increase, the FFs to be filled are selected in a predetermined ratio. For overwriting values, we prepare three value filling methods so as to achieve larger scan-out power reduction. Experiment for ITC99 benchmark circuits shows the effectiveness of the methods. Nearly 51% reduction of scan-out power and 57% reduction of peak scan-out power are achieved with little fault coverage loss for 20% FFs selection, while hardware overhead is little that only 0.05%.

  • A GA-Based X-Filling for Reducing Launch Switching Activity toward Specific Objectives in At-Speed Scan Testing

    Yuta YAMATO  Xiaoqing WEN  Kohei MIYASE  Hiroshi FURUKAWA  Seiji KAJIHARA  

     
    PAPER-Dependable Computing

      Vol:
    E94-D No:4
      Page(s):
    833-840

    Power-aware X-filling is a preferable approach to avoiding IR-drop-induced yield loss in at-speed scan testing. However, the ability of previous X-filling methods to reduce launch switching activity may be unsatisfactory, due to low effect (insufficient and global-only reduction) and/or low scalability (long CPU time). This paper addresses this reduction quality problem with a novel GA (Genetic Algorithm) based X-filling method, called GA-fill. Its goals are (1) to achieve both effectiveness and scalability in a more balanced manner and (2) to make the reduction effect of launch switching activity more concentrated on critical areas that have higher impact on IR-drop-induced yield loss. Evaluation experiments are being conducted on both benchmark and industrial circuits, and the results have demonstrated the usefulness of GA-fill.

  • On Design for IDDQ-Based Diagnosability of CMOS Circuits Using Multiple Power Supplies

    Xiaoqing WEN  Seiji KAJIHARA  Hideo TAMAMOTO  Kewal K. SALUJA  Kozo KINOSHITA  

     
    PAPER-Computer Components

      Vol:
    E88-D No:4
      Page(s):
    703-710

    This paper presents a novel approach to improving the IDDQ-based diagnosability of a CMOS circuit by dividing the circuit into independent partitions and using a separate power supply for each partition. This technique makes it possible to implement multiple IDDQ measurement points, resulting in improved IDDQ-based diagnosability. The paper formalizes the problem of partitioning a circuit for this purpose and proposes optimal and heuristic based solutions. The effectiveness of the proposed approach is demonstrated through experimental results.

  • On Statistical Estimation of Fault Efficiency for Path Delay Faults Based on Untestable Path Analysis

    Masayasu FUKUNAGA  Seiji KAJIHARA  Sadami TAKEOKA  

     
    PAPER-Dependable Computing

      Vol:
    E88-D No:7
      Page(s):
    1671-1677

    We propose a method to estimate fault efficiency of test patterns for path delay faults. In path delay fault testing, fault coverage of test patterns is usually very low, because circuits have not only a lot of paths but also a lot of untestable paths. Although fault efficiency would be better metric to evaluate test patterns rather than fault coverage, it is too difficult to compute it exactly, if we do not compute the total number of untestable paths exactly. The proposed method samples a part of paths after untestable path analysis, and estimate fault efficiency based on the percentage of untestable paths in the sample paths. Through our experimental results, we show that the proposed method can accurately estimate fault efficiency of test patterns in a reasonable time. Also, since the accuracy of fault efficiency estimated with the proposed method depends on how to sample the paths, we look into the influence of path sampling methods to the accuracy in the experiments.

  • A Statistical Quality Model for Delay Testing

    Yasuo SATO  Shuji HAMADA  Toshiyuki MAEDA  Atsuo TAKATORI  Seiji KAJIHARA  

     
    PAPER-Signal Integrity and Variability

      Vol:
    E89-C No:3
      Page(s):
    349-355

    In this paper we introduce a statistical quality model for delay testing that reflects fabrication process quality, design delay margin, and test timing accuracy. The model provides a measure that predicts the chip defect level that cause delay failure, including marginal small delay. We can therefore use the model to make test vectors that are effective in terms of both testing cost and chip quality. The results of experiments using ISCAS89 benchmark data and some large industrial design data reflect various characteristics of our statistical delay quality model.

  • Distribution-Controlled X-Identification for Effective Reduction of Launch-Induced IR-Drop in At-Speed Scan Testing

    Kohei MIYASE  Kenji NODA  Hideaki ITO  Kazumi HATAYAMA  Takashi AIKYO  Yuta YAMATO  Hiroshi FURUKAWA  Xiaoqing WEN  Seiji KAJIHARA  

     
    PAPER-Dependable Computing

      Vol:
    E94-D No:6
      Page(s):
    1216-1226

    Test data modification based on test relaxation and X-filling is the preferred approach for reducing excessive IR-drop in at-speed scan testing to avoid test-induced yield loss. However, none of the existing test relaxation methods can control the distribution of identified don't care bits (X-bits), thus adversely affecting the effectiveness of IR-drop reduction. In this paper, we propose a novel test relaxation method, called Distribution-Controlled X-Identification (DC-XID), which controls the distribution of X-bits identified in a set of fully-specified test vectors for the purpose of effectively reducing IR-drop. Experiments on large industrial circuits demonstrate the effectiveness and practicality of the proposed method in reducing IR-drop, without lowering fault coverage, increasing test data volume and circuit size.

  • A Novel ATPG Method for Capture Power Reduction during Scan Testing

    Xiaoqing WEN  Seiji KAJIHARA  Kohei MIYASE  Tatsuya SUZUKI  Kewal K. SALUJA  Laung-Terng WANG  Kozo KINOSHITA  

     
    PAPER-Dependable Computing

      Vol:
    E90-D No:9
      Page(s):
    1398-1405

    High power dissipation can occur when the response to a test vector is captured by flip-flops in scan testing, resulting in excessive IR drop, which may cause significant capture-induced yield loss in the DSM era. This paper addresses this serious problem with a novel test generation method, featuring a unique algorithm that deterministically generates test cubes not only for fault detection but also for capture power reduction. Compared with previous methods that passively conduct X-filling for unspecified bits in test cubes generated only for fault detection, the new method achieves more capture power reduction with less test set inflation. Experimental results show its effectiveness.

  • A Reduced Scan Shift Method for Sequential Circuit Testing

    Yoshinobu HIGAMI  Seiji KAJIHARA  Kozo KINOSHITA  

     
    PAPER

      Vol:
    E77-A No:12
      Page(s):
    2010-2016

    This paper presents a method, called reduced scan shift, which generates short test sequences for full scan circuits. In this method, scan shift operations can be reduced, i.e., not all but part of flip-flops (FFs) are controlled and observed. This method, unlike partial scan methods, does not decrease fault coverage. In the reduced scan shift, test vectors for the combinational part of a circuit are fistly generated. Since short test sequence will be obtained from the small test vectors set, test compaction techniques are used in the test vector generation. For each test vector in the obtained test set, it is found which FFs should be controlled or observed. And then a scan chain is configured so that FFs more frequently required to be controlled (observed) can be located close to the scan input (output). After the scan chain is configured, the scan shift requirement is examined for the essential faults of each test vector. Essential fault is defined to be a fault which is detected by only one test vector but not other test vectors. The order of test vectors is carefully determined by comparing the scan control requirement of a test vector with the scan observation requirement of another test vector so that unnecessary scan shift operations only for controlling or observing FFs can be reduced. A method of determining the order of test vectors with state transition is additionally described. The effectiveness of the proposed method is shown by the experimental results for benchmark circuits.

  • On Finding Don't Cares in Test Sequences for Sequential Circuits

    Yoshinobu HIGAMI  Seiji KAJIHARA  Irith POMERANZ  Shin-ya KOBAYASHI  Yuzo TAKAMATSU  

     
    PAPER-Dependable Computing

      Vol:
    E89-D No:11
      Page(s):
    2748-2755

    Recently there are various requirements for LSI testing, such as test compaction, test compression, low power dissipation or increase of defect coverage. If test sequences contain lots of don't cares (Xs), then their flexibility can be used to meet the above requirements. In this paper, we propose methods for finding as many Xs as possible in test sequences for sequential circuits. Given a fully specified test sequence generated by a sequential ATPG, the proposed methods produce a test sequence containing Xs without losing stuck-at fault coverage of the original test sequence. The methods apply an approach based on fault simulation, and they introduce some heuristics for reducing the simulation effort. Experimental results for ISCAS'89 benchmark circuits show the effectiveness of the proposed methods.

  • Acceleration Techniques of Multiple Fault Test Generation Using Vector Pair Analysis

    Seiji KAJIHARA  Rikiya NISHIGAYA  Tetsuji SUMIOKA  Kozo KINOSHITA  

     
    PAPER

      Vol:
    E78-D No:7
      Page(s):
    811-816

    This paper presents techniques used in combinational test generation for multiple stuck-at faults using the parallel vector pair analysis. The techniques accelerate a test generation procedure previously proposed and reduce the number of test vectors generated, while higher fault coverage is derived. The first technique proposed in this paper, which is applied at the first phase of test generation, is rules of ordering vector pairs to be analyzed, to derive high fault coverage without repeating the analysis for the same vector pairs. The second one is to generate new vector pairs for undetected faults, instead of random vector pairs. Both techniques are based on the idea that faults close to primary inputs should be detected earlier than close to primary outputs. The third technique proposed here is how to construct vector pairs from one input vector in order to accelerate test generation especially for circuits with many primary inputs and scan flip-flops. Experimental results for bench-mark circuits show the effectiveness of the techniques.

1-20hit(28hit)