The search functionality is under construction.
The search functionality is under construction.

Keyword Search Result

[Keyword] ERG(867hit)

401-420hit(867hit)

  • Optimizing a Virtual Re-Convergence System to Reduce Visual Fatigue in Stereoscopic Camera

    Jae Gon KIM  Jun-Dong CHO  

     
    PAPER-Image Processing

      Vol:
    E95-D No:5
      Page(s):
    1238-1247

    In this paper, we propose an optimized virtual re-convergence system especially to reduce the visual fatigue caused by binocular stereoscopy. Our unique idea to reduce visual fatigue is to utilize the virtual re-convergence based on the optimized disparity-map that contains more depth information in the negative disparity area than in the positive area. Therefore, our system facilitates a unique search-range scheme, especially for negative disparity exploration. In addition, we used a dedicated method, using a so-called Global-Shift Value (GSV), which are the total shift values of each image in stereoscopy to converge a main object that can mostly affect visual fatigue. The experimental result, which is a subjective assessment by participants, shows that the proposed method makes stereoscopy significantly comfortable and attractive to view than existing methods.

  • A Power-Saving Technique for the OSGi Platform

    Kuo-Yi CHEN  Chin-Yang LIN  Tien-Yan MA  Ting-Wei HOU  

     
    PAPER-Software System

      Vol:
    E95-D No:5
      Page(s):
    1417-1426

    With more digital home appliances and network devices having OSGi as the software management platform, the power-saving capability of the OSGi platform has become a critical issue. This paper is aimed at improving the power-efficiency of the OSGi platform, i.e. reducing the energy consumption with minimum performance degradation. The key to this study is an efficient power-saving technique which exploits the runtime information already available in a Java virtual machine (JVM), the base software of the OSGi platform, to best determine the timing of performing DVFS (Dynamic Voltage and Frequency Scaling). This, technically, involves a phase detection scheme that identifies the memory phase of the OSGi-enabled device/server in a correct and almost effortless way. The overhead of the power-saving procedure is thus minimized, and the system performance is well maintained. We have implemented and evaluated the proposed power-saving approach on an OSGi server, where the Apache Felix OSGi implementation and the DaCapo benchmarks were applied. The results show that this approach can achieve real power-efficiency for the OSGi platform, in which the power consumption is significantly reduced and the performance remains highly competitive, compared with the other power-saving techniques.

  • Connection-Assured Maximum Communication of Wireless Sensors with Multiple Transmission Ranges under Energy Constraint

    Wan Yeon LEE  

     
    LETTER

      Vol:
    E95-B No:5
      Page(s):
    1580-1583

    This paper introduces a new problem of maximizing communication performance while sustaining communication connection for a specified time without battery energy depletion on wireless sensor networks. As a solution to the problem, this paper proposes a communication scheme that maximizes the transmission rates of packets from sender sensors to destination sensors while guaranteeing the given connection time. The proposed scheme is designed to work for wireless sensors with multiple radio transmission ranges.

  • Low-Power Circuit Applicability of Hetero-Gate-Dielectric Tunneling Field-Effect Transistors (HG TFETs)

    Gibong LEE  Woo Young CHOI  

     
    BRIEF PAPER

      Vol:
    E95-C No:5
      Page(s):
    910-913

    We have investigated the low-power circuit applicability of hetero-gate-dielectric tunneling field-effect transistors (HG TFETs). Based on the device-level comparison of HG, SiO2-only and high-k-only TFETs, their circuit performance and energy consumption have been discussed. It has been shown that HG TFETs can deliver 14400x higher performance than the SiO2-only TFETs and 17x higher performance than the high-k-only TFETs due to its higher on current and lower capacitance at the same static power, same power supply. It has been revealed that HG TFETs have better voltage scalability than the others. It is because HG TFETs dissipate only 8% of energy consumption of SiO2-only TFETs and 17% of that of high-k-only TFETs under the same performance condition.

  • NEAR: A Neighbor-Cooperation-Based Off-Duty Eligibility Acquisition Rule for Wireless Sensor Nodes

    Juhua PU  Jia CHEN  Xiaolan TANG  Zhicheng HAN  

     
    LETTER-Network

      Vol:
    E95-B No:5
      Page(s):
    1839-1843

    This paper presents an efficient algorithm, NEAR, that allows sensor nodes to acquire their off-duty eligibility. Any node only needs to calculate the coverage degrees of the intersections on its sensing boundary, and cooperates with its neighbors to know if it is redundant or not. The computing complexity of NEAR is only O(nlogn).

  • A 40-nm 0.5-V 12.9-pJ/Access 8T SRAM Using Low-Energy Disturb Mitigation Scheme

    Shusuke YOSHIMOTO  Masaharu TERADA  Shunsuke OKUMURA  Toshikazu SUZUKI  Shinji MIYANO  Hiroshi KAWAGUCHI  Masahiko YOSHIMOTO  

     
    PAPER

      Vol:
    E95-C No:4
      Page(s):
    572-578

    This paper presents a novel disturb mitigation scheme which achieves low-energy operation for a deep sub-micron 8T SRAM macro. The classic write-back scheme with a dedicated read port overcame both half-select and read-disturb problems. Moreover, it improved the yield, particularly in the low-voltage range. The conventional scheme, however, consumed more power because of charging and discharging all write bitlines in a sub-block. Our proposed scheme reduces the power overhead of the write-back scheme using a floating write bitline technique and a low-swing bitline driver (LSBD). The floating bitline and the LSBD respectively consist of a precharge-less CMOS equalizer (transmission gate) and an nMOS write-back driver. The voltage on the floating write bitline is at an intermediate voltage between the ground and the supply voltage before a write cycle. The write target cells are written by normal CMOS drivers, whereas the write bitlines in half-selected columns are driven by the LSBDs in the write cycle, which suppresses the write bitline voltage to VDD - Vtn and therefore saves the active power in the half-selected columns (where Vtn is a threshold voltage of an nMOS). In addition, the proposed scheme reduces a leakage current from the write bitline because of the floating write bitline. The active leakage is reduced by 33% at the FF corner, 125. The active energy in the write operation is reduced by 37% at the FF corner. In other process corners, more writing power reduction can be expected because it depends on the Vtn in the LSBD. We fabricated a 512-Kb 8T SRAM test chip that operates at a single 0.5-V supply voltage. The test chip with the proposed scheme respectively achieves 1.52-µW/MHz writing energy and 72.8-µW leakage power, which are 59.4% and 26.0% better than those of the conventional write-back scheme. The total energy is 12.9 µW/MHz (12.9 pJ/access) at a supply voltage of 0.5 V and operating frequency of 6.25 MHz in a 50%-read/50%-write operation.

  • SCAP: Energy Efficient Event Detection in Large-Scale Wireless Sensor Networks with Multiple Sinks

    Jungmin SO  Heejung BYUN  

     
    LETTER-Network

      Vol:
    E95-B No:4
      Page(s):
    1435-1438

    For large-scale sensor networks, multiple sinks are often deployed in order to reduce source-to-sink distance and thus cost of data delivery. However, having multiple sinks may work against cost reduction, because routes from sources can diverge towards different sinks which reduces the benefit of in-network data aggregation. In this letter we propose a self-clustering data aggregation protocol (SCAP) that can benefit from having multiple sinks as well as joint routes. In SCAP, nodes which detect the event communicate with each other to aggregate data between themselves, before sending the data to the sinks. The self-clustering extends network lifetime by reducing energy consumption of nodes near the sinks, because the number of paths in which the packets are delivered is reduced. A performance comparison with existing protocols L-PEDAP and LEO shows that SCAP can conserve energy and extend network lifetime significantly, in a multi-sink environment.

  • An Ultra-Low Voltage Analog Front End for Strain Gauge Sensory System Application in 0.18 µm CMOS

    Alexander EDWARD  Pak Kwong CHAN  

     
    PAPER-Electronic Circuits

      Vol:
    E95-C No:4
      Page(s):
    733-743

    This paper presents analysis and design of a new ultra-low voltage analog front end (AFE) dedicated to strain sensor applications. The AFE, designed in 0.18 µm CMOS process, features a chopper-stabilized instrumentation amplifier (IA), a balanced active MOSFET-C 2nd order low pass filter (LPF), a clock generator and a voltage booster which operate at supply voltage (Vdd) of 0.6 V. The designed IA achieves 30 dB of closed-loop gain, 101 dB of common-mode rejection ratio (CMRR) at 50 Hz, 80 dB of power-supply rejection ratio (PSRR) at 50 Hz, thermal noise floor of 53.4 nV/, current consumption of 14 µA, and noise efficiency factor (NEF) of 9.7. The high CMRR and rail-to-rail output swing capability is attributed to a new low voltage realization of the active-bootstrapped technique using a pseudo-differential gain-boosting operational transconductance amplifier (OTA) and proposed current-driven bulk (CDB) biasing technique. An output capacitor-less low-dropout regulator (LDO), with a new fast start-up LPF technique, is used to regulate this 0.6 V supply from a 0.8–1.0 V energy harvesting power source. It achieves power supply rejection (PSR) of 42 dB at frequency of 1 MHz. A cascode compensated pseudo differential amplifier is used as the filter's building block for low power design. The filter's single-ended-to-balanced converter is implemented using a new low voltage amplifier with two-stage common-mode cancellation. The overall AFE was simulated to have 65.6 dB of signal-to-noise ratio (SNR), total harmonic distortion (THD) of less than 0.9% for a 100 Hz sinusoidal maximum input signal, bandwidth of 2 kHz, and power consumption of 51.2 µW. Spectre RF simulations were performed to validate the design using BSIM3V3 transistor models provided by GLOBALFOUNDRIES 0.18 µm CMOS process.

  • Energy Minimum Operation with Self Synchronous Gate-Level Autonomous Power Gating and Voltage Scaling

    Benjamin DEVLIN  Makoto IKEDA  Kunihiro ASADA  

     
    PAPER

      Vol:
    E95-C No:4
      Page(s):
    546-554

    A 65 nm self synchronous field programmable gate array (SSFPGA) which uses autonomous gate-level power gating with minimal control circuitry overhead for energy minimum operation is presented. The use of self synchronous signaling allows the FPGA to operate at voltages down to 370 mV without any parameter tuning. We show both 2.6x total energy reduction and 6.4x performance improvement at the same time for energy minimum operation compared to the non-power gated SSFPGA, and compared to the latest research 1.8x improvement in power-delay product (PDP) and 2x performance improvement. When compared to a synchronous FPGA in a similar process we are able to show up to 84.6x PDP improvement. We also show energy minimum operation for maximum throughput on the power gated SSFPGA is achieved at 0.6 V, 27 fJ/operation at 264 MHz.

  • A Robust Cooperative Spectrum Sensing Based on Kullback-Leibler Divergence

    Hiep VU-VAN  Insoo KOO  

     
    LETTER

      Vol:
    E95-B No:4
      Page(s):
    1286-1290

    Reliable detection of the licensed user signal is a pre-requirement for avoiding interference to the licensed user in a CR network. Cooperative spectrum sensing (CSS) is able to offer improved sensing performance compared to individual sensing. In this paper, we propose a robust soft combination rule based on the Kullback-Leibler divergence (KL-divergence) for CSS. The proposed scheme is able to obtain a similar sensing performance compared to that of maximum gain combination (MGC) without requiring signal to noise ratio (SNR) information. In addition, the proposed scheme protects the sensing process against common types of malicious users without a malicious user identification step. The simulation results demonstrate the effectiveness of the proposed scheme.

  • Improved Power Saving Mechanism to Increase Unavailability Interval in IEEE 802.16e Networks

    Kyunghye LEE  Youngsong MUN  

     
    LETTER-Network

      Vol:
    E95-B No:4
      Page(s):
    1414-1418

    To manage limited energy resources efficiently, IEEE 802.16e specifies sleep mode operation. Since there can be no communication between the mobile station (MS) and the serving base station (BS) during the unavailability interval, the MS can power down its physical operation components. We propose an improved power saving mechanism (iPSM) which effectively increases the unavailability interval of Type I and Type II power saving classes (PSCs) activated in an MS. After investigating the number of frames in the unavailability interval of each Type II PSC when used with Type I PSC, the iPSM chooses the Type II PSC that yields the maximum number of frames in the unavailability interval. Performance evaluation confirms that the proposed scheme is very effective.

  • Tense-Lax Vowel Classification with Energy Trajectory and Voice Quality Measurements

    Suk-Myung LEE  Jeung-Yoon CHOI  

     
    LETTER-Speech and Hearing

      Vol:
    E95-D No:3
      Page(s):
    884-887

    This work examines energy trajectory and voice quality measurements, in addition to conventional formant and duration properties, to classify tense and lax vowels in English. Tense and lax vowels are produced with differing articulatory configurations which can be identified by measuring acoustic cues such as energy peak location, energy convexity, open quotient and spectral tilt. An analysis of variance (ANOVA) is conducted, and dialect effects are observed. An overall 85.2% classification rate is obtained using the proposed features on the TIMIT database, resulting in improvement over using only conventional acoustic features. Adding the proposed features to widely used cepstral features also results in improved classification.

  • Super-Resolution for Facial Images Based on Local Similarity Preserving

    Jin-Ping HE  Guang-Da SU  Jian-Sheng CHEN  

     
    LETTER-Image Processing and Video Processing

      Vol:
    E95-D No:3
      Page(s):
    892-896

    To reconstruct low-resolution facial photographs which are in focus and without motion blur, a novel algorithm based on local similarity preserving is proposed. It is based on the theories of local manifold learning. The innovations of the new method include mixing point-based entropy and Euclidian distance to search for the nearest points, adding point-to-patch degradation model to restrict the linear weights and compensating the fusing patch to keep energy coherence. The compensation reduces the algorithm dependence on training sets and keeps the luminance of reconstruction constant. Experiments show that our method can effectively reconstruct 1612 images with the magnification of 88 and the 3224 facial photographs in focus and without motion blur.

  • Proposal of Novel Optical Burst Signal Receiver for ONU in Optical Switched Access Network

    Hiromi UEDA  Keita HAMASAKI  Takashi KURIYAMA  Toshinori TSUBOI  Hiroyuki KASAI  

     
    PAPER-Fiber-Optic Transmission for Communications

      Vol:
    E95-B No:3
      Page(s):
    819-831

    To realize economical optical burst signal receivers for the Optical Network Unit (ONU) of the Ethernet Optical Switched Access Network (E-OSAN), we previously implemented optical burst receivers with AC-coupling and DC-coupling using off-the-shelf components, and showed that the former offers better performance. This paper proposes a new optical burst signal receiver that uses the transfer function, Gn(s) = 1-Hn(s), where Hn(s) denotes a Bessel filter transfer function of order n. We also present a method for designing the proposed receiver and clarify that it has better performance than the conventional AC-coupling one. We then present an LCR circuit synthesis of Gn(s), which is necessary to actually implement a burst receiver based on the proposal.

  • A Continuous Skyline Processing Method Using Competitive Mechanisms in Wireless Sensor Networks

    Su Min JANG  Choon Seo PARK  Jae Soo YOO  

     
    LETTER-Network

      Vol:
    E95-B No:3
      Page(s):
    1003-1006

    Skyline queries on sensor networks have attracted much attention from the database research community due to their wide applications related to multi-criteria decision making. The existing methods use filters that are based on the data locality of sensor nodes and routing paths. However, they have two serious problems: i) unnecessary data transmission is still to frequent. ii) the processing cost of a continuous skyline query on high-dimensional data is very high. In this paper, we propose a new method that uses competitive mechanisms for processing continuous skyline queries. The proposed method dramatically reduces the data transmissions of sensors and quickly processes a continuous skyline query on high-dimensional data. An extensive performance study verifies the merits of our new method.

  • Resource Allocation and Power Management Schemes in an LTE-Advanced Femtocell Network

    Byung-Bog LEE  Jae-Hak YU  In-Hwan LEE  Cheol-Sig PYO  Se-Jin KIM  

     
    LETTER-Network

      Vol:
    E95-B No:2
      Page(s):
    611-614

    In this letter, we introduce two different resource allocation and Tx power management schemes, called resource control and fixed power (RCFP) and fixed resource and power control (FRPC), in an LTE-Advanced femtocell network. We analyze and compare the two schemes in terms of the system throughput for downlink and energy consumption of home evolved NodeB (HeNB) Tx power according to the number of HeNBs and home user equipment (HUE)'s user traffic density (C). The simulation results show that the FRPC scheme has better performance in terms of system throughput for macro user equipments (MUEs) and energy consumption in low C.

  • Adaptive Cooperation Switching for Multicell Downlink Using Statistical CSI

    Jun ZHANG  Shi JIN  Xiqi GAO  Kai-Kit WONG  

     
    LETTER-Wireless Communication Technologies

      Vol:
    E95-B No:2
      Page(s):
    663-667

    This letter proposes an adaptive scheme that switches between cooperative and non-cooperative transmission for multicell downlink systems in Kronecker spatially correlated channels, which exploits statistical channel state information (CSI). Based on the received signal-to-noise ratios (SNRs) and a cooperation metric, we propose a simple base station (BS) association method and then derive low-SNR capacity approximations for both cooperative and non-cooperative systems. Using the results, we provide a low-complexity efficient cooperation switching method to enhance the system capacity. Results show that the proposed method is more efficient than the conventional method to search the switching point.

  • Closed Summation Expressions for PD and PFA of Adaptive Sidelobe Blanker Detection Algorithm

    Guolong CUI  Lingjiang KONG  Xiaobo YANG  Jianyu YANG  

     
    LETTER-Sensing

      Vol:
    E95-B No:2
      Page(s):
    676-679

    This letter focuses on the performance analysis on the Adaptive Sidelobe Blanker (ASB) detection algorithm in homogeneous environments, and provides closed summation expressions for Probability of Detection (PD) and Probability of False Alarm (PFA) rate in terms of hypergeometric function. The derived results are more powerful and effective than previous integral ones. Moreover, the framework can be modified to solve the the performance analysis problem involving in F or/and beta distributions. Several numerical evaluations of the convergence rate and computation time are provided and discussed.

  • MAC 2: A Multi-Hop Adaptive MAC Protocol with Packet Concatenation for Wireless Sensor Networks

    Kien NGUYEN  Ulrich MEIS  Yusheng JI  

     
    PAPER

      Vol:
    E95-D No:2
      Page(s):
    480-489

    Wireless sensor network MAC protocols switch radios off periodically, employing the so-called duty cycle mechanism, in order to conserve battery power that would otherwise be wasted by energy-costly idle listening. In order to minimize the various negative side-effects of the original scheme, especially on latency and throughput, various improvements have been proposed. In this paper, we introduce a new MAC protocol called MAC2(Multi-hop Adaptive with packet Concatenation-MAC) which combines three promising techniques into one protocol. Firstly, the idea to forward packets over multiple hops within one operational cycle as initially introduced in RMAC. Secondly, an adaptive method that adjusts the listening period according to traffic load minimizing idle listening. Thirdly, a packet concatenation scheme that not only increases throughput but also reduces power consumption that would otherwise be incurred by additional control packets. Furthermore, MAC2 incorporates the idea of scheduling data transmissions with minimum latency, thereby performing packet concatenation together with the multi-hop transmission mechanism in a most efficient way. We evaluated MAC2 using the prominent network simulator ns-2 and the results show that our protocol can outperform DW-MAC – a state of the art protocol both in terms of energy efficiency and throughput.

  • A Cost-Effective Energy-Recovering Sustain Driving Circuit for ac Plasma Display Panels

    Jae Kwang LIM  Heung-Sik TAE  Byungcho CHOI  Seok Gi KIM  

     
    PAPER-Electronic Displays

      Vol:
    E95-C No:2
      Page(s):
    303-308

    A new sustain driving circuit, featuring an energy-recovering function with simple structure and minimal component count, is proposed as a cost-effective solution for driving plasma display panels during the sustaining period. Compared with existing solutions, the proposed circuit reduces the number of semiconductor switches and reactive circuit components without compromising the circuit performance and gas-discharging characteristics. In addition, the proposed circuit utilizes the harness wire as an inductive circuit component, thereby further simplifying the circuit structure. The performance of the proposed circuit is confirmed with a 42-inch plasma display panel.

401-420hit(867hit)