The search functionality is under construction.

Keyword Search Result

[Keyword] IDDQ testing(11hit)

1-11hit
  • IDDQ Outlier Screening through Two-Phase Approach: Clustering-Based Filtering and Estimation-Based Current-Threshold Determination

    Michihiro SHINTANI  Takashi SATO  

     
    PAPER-Dependable Computing

      Vol:
    E97-D No:8
      Page(s):
    2095-2104

    We propose a novel IDDQ outlier screening flow through a two-phase approach: a clustering-based filtering and an estimation-based current-threshold determination. In the proposed flow, a clustering technique first filters out chips that have high IDDQ current. Then, in the current-threshold determination phase, device-parameters of the unfiltered chips are estimated based on measured IDDQ currents through Bayesian inference. The estimated device-parameters will further be used to determine a statistical leakage current distribution for each test pattern and to calculate a and suitable current-threshold. Numerical experiments using a virtual wafer show that our proposed technique is 14 times more accurate than the neighbor nearest residual (NNR) method and can achieve 80% of the test escape in the case of small leakage faults whose ratios of leakage fault sizes to the nominal IDDQ current are above 40%.

  • Device-Parameter Estimation through IDDQ Signatures

    Michihiro SHINTANI  Takashi SATO  

     
    PAPER-Dependable Computing

      Vol:
    E96-D No:2
      Page(s):
    303-313

    We propose a novel technique for the estimation of device-parameters suitable for postfabrication performance compensation and adaptive delay testing, which are effective means to improve the yield and reliability of LSIs. The proposed technique is based on Bayes' theorem, in which the device-parameters of a chip, such as the threshold voltage of transistors, are estimated by current signatures obtained in a regular IDDQ testing framework. Neither additional circuit implementation nor additional measurement is required for the purpose of parameter estimation. Numerical experiments demonstrate that the proposed technique can achieve 10-mV accuracy in threshold voltage estimations.

  • A CMOS Built-In Current Sensor for IDDQ Testing

    Jeong Beom KIM  Seung Ho HONG  

     
    LETTER-Integrated Electronics

      Vol:
    E89-C No:6
      Page(s):
    868-870

    This paper presents a new built-in current sensor (BICS) that detects defects using the current testing technique in CMOS integrated circuits. The proposed circuit is a negligible impact on the performance of the circuit under test (CUT). In addition, no extra power dissipation and high-speed fault detection are achieved. It can be applicable in deep sub-micron process. The area overhead of the BICS versus the entire chip is about 9.2%. The chip was fabricated with Hynix 0.35 µm standard CMOS technology.

  • Test Sequence Generation for Test Time Reduction of IDDQ Testing

    Hiroyuki YOTSUYANAGI  Masaki HASHIZUME  Takeomi TAMESADA  

     
    PAPER-Test Generation and Compaction

      Vol:
    E87-D No:3
      Page(s):
    537-543

    In this paper, test time reduction for IDDQ testing is discussed. Although IDDQ testing is known to be effective to detect faults in CMOS circuit, test time of IDDQ testing is larger than that of logic testing since supply current is measured after a circuit is in its quiescent state. It is shown by simulation that test time of IDDQ test mostly depends on switching current. A procedure to modify test vectors and a procedure to arrange test vectors are presented for reducing the test time of IDDQ testing. A test sequence is modified such that switching current quickly disappears. The procedure utilizes a unit delay model to estimate the time of the last transition of logic value from L to H in a circuit. Experimental results for benchmark circuits show the effectiveness of the procedure.

  • Analysis of IDDQ Occurrence in Testing

    Arabi KESHK  Yukiya MIURA  Kozo KINOSHITA  

     
    LETTER-Computer System Element

      Vol:
    E84-D No:4
      Page(s):
    534-536

    This work presents an analysis of IDDQ dependency on the primary current that flows through the bridging fault and driven gates current. A maximum primary current depends only on the test vectors which minimize channel resistances of transistors. The driven gates current generates when intermediate voltage occurs on the faulty node with creation current path between VDD and GND through the driven gates, and its value depends on circuit parameters such as transistor sizes and fan-in number of driven gates.

  • An IDDQ Sensor Driven by Abnormal IDDQ

    Yukiya MIURA  

     
    PAPER-Fault Tolerance

      Vol:
    E83-D No:10
      Page(s):
    1860-1867

    This paper describes a novel IDDQ sensor circuit that is driven by only an abnormal IDDQ. The sensor circuit has relatively high sensitivity and can operate at a low supply voltage. Based on a very simple idea, it requires two additional power supplies. It can operate at either 5-V or 3.3-V VDD with the same design. Simulation results show that it can detect a 16-µA abnormal IDDQ at 3.3-V VDD. This sensor circuit causes a smaller voltage drop and smaller performance penalty in the circuit under test than other ones.

  • An Analysis of the Relationship between IDDQ Testability and D-Type Flip-Flop Structure

    Yukiya MIURA  Hiroshi YAMAZAKI  

     
    PAPER-Fault Tolerant Computing

      Vol:
    E81-D No:10
      Page(s):
    1072-1078

    This paper describes IDDQ testability for bridging faults in a variety of flip-flops. The flip-flop is a basic element of the sequential circuit and there are various structures even for the same type. In this paper, we use five kinds of master-slave D-type flip-flops as the circuit under test. Target faults are two-line resistive bridging faults extracted from a circuit layout. A flip-flop with a deliberately introduced bridging fault is simulated by the SPICE simulator. Simulation results show that IDDQ testing cannot detect faults existing at specific points in some flip-flops, and this problem depends on the flip-flop structure. However, IDDQ testing has high fault coverage ( 98%) compared with traditional logic testing. We also examine performances of fully IDDQ testable flip-flops.

  • Test Generation for Sequential Circuits under IDDQ Testing

    Toshiyuki MAEDA  Yoshinobu HIGAMI  Kozo KINOSHITA  

     
    PAPER-IDDQ Testing

      Vol:
    E81-D No:7
      Page(s):
    689-696

    This paper presents a test generation method for sequential circuits under IDDQ testing environment and the identification of untestable faults based on the information of illegal states. We consider a short between two signal lines, a short within one gate and a short between two nodes in different gates. The proposed test generation method consists of two techniques. First technique is to use weighted random vectors, and second technique is to use test generator for stuck-at faults. By using the two techniques together, high fault coverage and short computational time can be achieved. Finally experimental results for ISCAS89 benchmark circuits are presented.

  • An Iterative Improvement Method for Generating Compact Tests for IDDQ Testing of Bridging Faults

    Tsuyoshi SHINOGI  Terumine HAYASHI  

     
    PAPER-IDDQ Testing

      Vol:
    E81-D No:7
      Page(s):
    682-688

    IDDQ testing, or current testing, is a powerful method which detects a large class of defects which cause abnormal quiescent current, by measuring the power supply current. One of the problems on IDDQ testing which prevent its full practical use in manufacturing is that the testing speed is slow owing to time-consuming IDDQ measurement. One of the solutions to this problem is test pattern compaction. This paper presents an efficient method for generating a compact test set for IDDQ testing of bridging faults in combinational CMOS circuits. Our method is based on the iterative improvement method. Each of random primary input patterns is iteratively improved through changing its values pin by pin selected orderly, so as to increase the number of newly detected faults in the current yet undetected fault set. While our method is simple and easy to implement, it is efficient. Experimental results for large ISCAS benchmark circuits demonstrate its efficiency in comparison with results of previous methods.

  • Transistor Leakage Fault Diagnosis with IDDQ and Logic Information

    Wen XIAOQING  Hideo TAMAMOTO  Kewal K. SALUJA  Kozo KINOSHITA  

     
    PAPER-Fault Tolerant Computing

      Vol:
    E81-D No:4
      Page(s):
    372-381

    This paper proposes a new methodology for diagnosing transistor leakage faults with information on IDDQ and logic values at primary output lines. A hierarchical approach is proposed to identify the faults that do not exist in the circuit through comparing their IDDQ and logic behaviors predicted by simulation with observed responses. Several techniques for handling intermediate faulty voltages in fault simulation are also proposed. Further, an approach is proposed to generate diagnostic vectors based on IDDQ information. In addition, a method for identifying IDDQ equivalent faults is proposed to reduce the time needed for diagnostic vector generation and to improve diagnostic resolution. Experimental results show that the proposed methodology often confines diagnosed faults to only a few gates.

  • A Method of Current Testing for CMOS Digital and Mixed-Signal LSIs

    Yukiya MIURA  Sachio NAITO  

     
    PAPER

      Vol:
    E78-D No:7
      Page(s):
    845-852

    Current testing has been proposed as an alternative technique for testing fully CMOS digital LSIs. Current testing has higher fault coverage than conventional stuck-at fault (SAF) testing and is more economical because it detects a wide range of faults and requires fewer test vectors than does SAF testing. We have proposed a current testing that measures the integral of the power supply current (IDD) during one clock period including the switching current. Since this method cannot be affected by the switching current, it can be used to test an LSI operating at a relatively high clock freuqnecy. This paper presents an improved current testing method for CMOS digital and analog LSIs. The method uses two current values (i.e., an upper limit and a lower limit) and judges the circuit under test to be faulty if the measured IDD is outside these limits. The proposed current testing is evaluated here for some kinds of faults (e.g., the bridging fault and the breaking fault) in digital and mixed-signal LSIs, and its efficiency of the current testing using SPICE3.