The search functionality is under construction.
The search functionality is under construction.

Keyword Search Result

[Keyword] SIC(469hit)

201-220hit(469hit)

  • Super Resolution TOA Estimation Algorithm with Maximum Likelihood ICA Based Pre-Processing

    Tetsuhiro OKANO  Shouhei KIDERA  Tetsuo KIRIMOTO  

     
    PAPER-Sensing

      Vol:
    E96-B No:5
      Page(s):
    1194-1201

    High-resolution time of arrival (TOA) estimation techniques have great promise for the high range resolution required in recently developed radar systems. A widely known super-resolution TOA estimation algorithm for such applications, the multiple-signal classification (MUSIC) in the frequency domain, has been proposed, which exploits an orthogonal relationship between signal and noise eigenvectors obtained by the correlation matrix of the observed transfer function. However, this method suffers severely from a degraded resolution when a number of highly correlated interference signals are mixed in the same range gate. As a solution for this problem, this paper proposes a novel TOA estimation algorithm by introducing a maximum likelihood independent component analysis (MLICA) approach, in which multiple complex sinusoidal signals are efficiently separated by the likelihood criteria determined by the probability density function (PDF) of a complex sinusoid. This MLICA schemes can decompose highly correlated interference signals, and the proposed method then incorporates the MLICA into the MUSIC method, to enhance the range resolution in richly interfered situations. The results from numerical simulations and experimental investigation demonstrate that our proposed pre-processing method can enhance TOA estimation resolution compared with that obtained by the original MUSIC, particularly for lower signal-to-noise ratios.

  • An ASIC Design Support Tool Set for Non-pipelined Asynchronous Circuits with Bundled-Data Implementation

    Minoru IIZUKA  Naohiro HAMADA  Hiroshi SAITO  

     
    PAPER

      Vol:
    E96-C No:4
      Page(s):
    482-491

    This paper proposes an ASIC design support tool set for non-pipelined asynchronous circuits with bundled-data implementation. This tool set consists of seven tools to automate design processes of bundled-data implementation such as the generation of design constraints, timing verification, and delay adjustment considering a given latency constraint. With the proposed design flow which combines the proposed tool set and commercial CAD tools, most of design processes from an RTL model is fully automated. In the experiments, to show the effectiveness of energy consumption in bundled-data implementation compared to synchronous counterpart, this paper synthesizes several circuits with a latency constraint which is generated from the synchronous counterpart with the minimum clock cycle time.

  • Segmentation of Liver in Low-Contrast Images Using K-Means Clustering and Geodesic Active Contour Algorithms Open Access

    Amir H. FORUZAN  Yen-Wei CHEN  Reza A. ZOROOFI  Akira FURUKAWA  Yoshinobu SATO  Masatoshi HORI  Noriyuki TOMIYAMA  

     
    PAPER-Medical Image Processing

      Vol:
    E96-D No:4
      Page(s):
    798-807

    In this paper, we present an algorithm to segment the liver in low-contrast CT images. As the first step of our algorithm, we define a search range for the liver boundary. Then, the EM algorithm is utilized to estimate parameters of a 'Gaussian Mixture' model that conforms to the intensity distribution of the liver. Using the statistical parameters of the intensity distribution, we introduce a new thresholding technique to classify image pixels. We assign a distance feature vectors to each pixel and segment the liver by a K-means clustering scheme. This initial boundary of the liver is conditioned by the Fourier transform. Then, a Geodesic Active Contour algorithm uses the boundaries to find the final surface. The novelty in our method is the proper selection and combination of sub-algorithms so as to find the border of an object in a low-contrast image. The number of parameters in the proposed method is low and the parameters have a low range of variations. We applied our method to 30 datasets including normal and abnormal cases of low-contrast/high-contrast images and it was extensively evaluated both quantitatively and qualitatively. Minimum of Dice similarity measures of the results is 0.89. Assessment of the results proves the potential of the proposed method for segmentation in low-contrast images.

  • A Novel High-Resolution Propagation Measurement Scheme for Indoor Terrestrial TV Signal Reception Based on Two-Dimensional Virtual Array Technique

    Kazuo MOROKUMA  Atsushi TAKEMOTO  Yoshio KARASAWA  

     
    PAPER-Antennas and Propagation

      Vol:
    E96-B No:4
      Page(s):
    986-993

    We propose a novel propagation measurement scheme for terrestrial TV signal indoor reception based on a virtual array technique. The system proposed in this paper carries out two-branch recording of target signals and the reference signal. By using the signal phase reference in the reference signal, we clarify the spatial propagation characteristics obtained from the two-dimensional virtual array outputs. Outdoor measurements were performed first to investigate the validity of the proposed measurement system. The results confirm its effectiveness in accurately determining the direction-of-arrival (DOA). We then investigated the propagation characteristics in an indoor environment. The angular spectrum obtained showed clear wave propagation structure. Thus, our proposed system is promising as a very accurate measurement tool for indoor propagation analysis.

  • Adaptive Iterative Decoding of Finite-Length Differentially Encoded LDPC Coded Systems with Multiple-Symbol Differential Detection

    Yang YU  Shiro HANDA  Fumihito SASAMORI  Osamu TAKYU  

     
    PAPER-Wireless Communication Technologies

      Vol:
    E96-B No:3
      Page(s):
    847-858

    In this paper, through extrinsic information transfer (EXIT) band chart analysis, an adaptive iterative decoding approach (AIDA) is proposed to reduce the iterative decoding complexity and delay for finite-length differentially encoded Low-density parity-check (DE-LDPC) coded systems with multiple-symbol differential detection (MSDD). The proposed AIDA can adaptively adjust the observation window size (OWS) of the MSDD soft-input soft-output demodulator (SISOD) and the outer iteration number of the iterative decoder (consisting of the MSDD SISOD and the LDPC decoder) instead of setting fixed values for the two parameters of the considered systems. The performance of AIDA depends on its stopping criterion (SC) which is used to terminate the iterative decoding before reaching the maximum outer iteration number. Many SCs have been proposed; however, these approaches focus on turbo coded systems, and it has been proven that they do not well suit for LDPC coded systems. To solve this problem, a new SC called differential mutual information (DMI) criterion, which can track the convergence status of the iterative decoding, is proposed; it is based on tracking the difference of the output mutual information of the LDPC decoder between two consecutive outer iterations of the considered systems. AIDA using the DMI criterion can adaptively adjust the out iteration number and OWS according to the convergence situation of the iterative decoding. Simulation results show that compared with using the existing SCs, AIDA using the DMI criterion can further reduce the decoding complexity and delay, and its performance is not affected by a change in the LDPC code and transmission channel parameters.

  • Two-User Turbo Decoding with Simplified Sum Trellis in Two-Way Relay Channel

    Shan LU  Jun CHENG  Ying LI  Yoichiro WATANABE  

     
    PAPER-Fundamental Theories for Communications

      Vol:
    E96-B No:1
      Page(s):
    73-80

    Physical-layer network coding with binary turbo coding in a two-way relay channel is considered. A two-user turbo decoding scheme is proposed with a simplified sum trellis. For two-user iterative decoding at a relay, the component decoder with its simplified sum trellis decodes the superimposed signal to the arithmetic sum of two users' messages. The simplified sum trellis is obtained by removing one of the states in a pair of mutual symmetrical states from a sum trellis. This removal reduces the decoding complexity to half of that with the sum trellis, and does not degrade decoding performance over AWGN channel since two output sequences from the pair of mutual symmetrical states are the same.

  • Implementation of Reflection on Curved Surfaces and Physical Optics in Ray Tracing for Tunnel Propagation

    Yukiko KISHIKI  Jun-ichi TAKADA  Gilbert Siy CHING  Hajime TAKAO  Yoshihiro SUGIHARA  Shigeaki MATSUNAGA  Fumiya UESAKA  

     
    PAPER-Radiowave Propagation

      Vol:
    E96-C No:1
      Page(s):
    42-50

    For the modeling of multipath propagation in every wireless systems, the ray tracing method has been widely studied. However, large errors may result due to the approximation of geometrical optics in curved surfaces. This paper therefore focused on the curved surfaces and edges, which are difficult to handle in ray tracing. Examples of curved surfaces can be found in arched cross-section tunnels which are common in highway networks of mountainous areas. The traditional ray tracing method of dividing the curved surface into smaller flat plates is not so accurate as the size of smaller plates may not satisfy the geometrical optics assumption, and the reflection point which satisfies Fermat's principle may not exist. In this work, a new ray tracing method is proposed with 2 contributions. The first one is the implementation of the reflection coefficient for curved surfaces in ray tracing. The second is applying the physical optics method on the caustics region. To evaluate these methods, path gain simulation results for an arched cross-section model are compared with measurements made inside an arched tunnel. To further improve the simulation results, the effect of rough surface is introduced, and the results are again compared with measurement.

  • Scattering of a Scalar Plane Wave by a Sinusoidal Edge

    Tomoya IZUTSU  Akira KOMIYAMA  

     
    BRIEF PAPER-Scattering and Diffraction

      Vol:
    E96-C No:1
      Page(s):
    55-59

    We deal with the scattering of a scalar plane wave by a half plane with a sinusoidally deformed edge from a straight edge by a physical optics approximation. The normal incidence of a plane wave to an edge is assumed. A contribution of an edge to the field integral is asymptotically evaluated and the basic properties of the scattering caused by the edge deformation is clarified. The scattering pattern has peaks at specific scattering angles, which agree with diffraction angles calculated by the well-known grating formula for normal incidence. Some numerical examples are shown and it is shown that the results are in good agreement with the results obtained by the GTD method for low angle incidence.

  • A New Type of Fault-Based Attack: Fault Behavior Analysis

    Yang LI  Kazuo OHTA  Kazuo SAKIYAMA  

     
    PAPER-Implementation

      Vol:
    E96-A No:1
      Page(s):
    177-184

    Fault-based attacks are very powerful to recover the secret key for cryptographic implementations. In this work, we consider the faulty output value under a certain fault injection intensity as a new type of leakage called faulty behavior. We examine the data-dependency of the faulty behavior and propose a related side-channel attack called fault behavior analysis (FBA). To verify the validity of the proposed attack, we first show that our attack can work effectively on AES-COMP of SASEBO-R. Then we show how to apply the similar attack on two AES implementations with masking countermeasures, i.e., AES-MAO and AES-TI. Finally we compare the proposed FBA attack with the DFA attack and the FSA attack, trying to complete the research map for the fault-based attack based on setup-time violations.

  • Via Programmable Structured ASIC Architecture “VPEX3” and CAD Design System

    Ryohei HORI  Taisuke UEOKA  Taku OTANI  Masaya YOSHIKAWA  Takeshi FUJINO  

     
    PAPER-Physical Level Design

      Vol:
    E95-A No:12
      Page(s):
    2182-2190

    A low-cost and low-power via-programmable structured ASIC architecture named “VPEX3” and a VPEX3-specific CAD system are developed. In the VPEX3 architecture, which is an improved version of the old VPEX and VPEX2 architectures, an arbitrary logic function including sequential logic can be programmed by three via layers. The logic elements (LEs) of VPEX3 are 60% smaller than those of the previous VPEX2, which can be programmed by two via layers. In this paper, we describe a global architecture named Logic Array Block (LAB) composed of LE matrices. The clock lines are buffered in the buffering region on the left and right sides of LAB. Next, a VPEX3-specific CAD system utilizing an academic placement tool named “CAPO” and the “FGR” global router is developed. Since these tools are originally designed for ASICs, we developed CAD tools for supporting a structured ASIC architecture. In particular, we developed a detailed router that assigns via positions on the via-programmable routing fabric. Our CAD system successfully converts the HDL design to GDS-II data format including via-1, 2, 3 layouts, and the successful verification of LVS and DRC on GDSII is achieved. The performance of the VPEX3 architecture and the CAD system is evaluated using ISCAS benchmark circuits. The developed CAD system is used to successfully design a test chip composed of 130110 LEs.

  • A 128-bit Chip Identification Generating Scheme Exploiting Load Transistors' Variation in SRAM Bitcells

    Shunsuke OKUMURA  Shusuke YOSHIMOTO  Hiroshi KAWAGUCHI  Masahiko YOSHIMOTO  

     
    PAPER-Circuit Design

      Vol:
    E95-A No:12
      Page(s):
    2226-2233

    We propose a chip identification (ID) generating scheme with random variation of transistor characteristics in SRAM bitcells. In the proposed scheme, a unique fingerprint is generated by grounding both bitlines in write operations. Through minor modifications, this scheme can be implemented for existing SRAMs. It has high speed, and it can be implemented in a very small area overhead. The generated fingerprint mainly reflects threshold voltages of load transistors in the bitcells. We fabricated test chips in a 65-nm process and obtained 12,288 sets of unique 128-bit fingerprints, which are evaluated in this paper. The failure rate of the IDs is found to be 2.110-12.

  • A Novel 400-Gb/s (100-Gb/s4) Physical-Layer Architecture Using Low-Power Technology

    Masashi KONO  Akihiro KANBE  Hidehiro TOYODA  Shinji NISHIMURA  

     
    PAPER-Transmission Systems and Transmission Equipment for Communications

      Vol:
    E95-B No:11
      Page(s):
    3437-3444

    A novel 400-Gb/s (100-Gb/s4) physical-layer architecture for the next-generation Ethernet – using 100-Gb/s serial (optical single-wavelength) transmission – is proposed. As for the next-generation 400-Gb/s Ethernet, additional requirements from the market, such as power reduction and further miniaturization in addition to attaining even higher transmission speed, must be satisfied. To satisfy these requirements, a 100-Gb/s4 Ethernet physical-layer architecture is proposed. This architecture uses a 100-Gb/s serial (optical single-wavelength) transmission Ethernet and low-power technologies for a multi-lane transmission Ethernet. These technologies are implemented on a 100-Gb/s serial (optical single wavelength) transmission Ethernet using field-programmable gate arrays (FPGAs). Experimental evaluation of this implementation demonstrates the feasibility of low-power 400-Gb/s Ethernet.

  • Low-Complexity Concatenated Soft-In Soft-Out Detector for Spreading OFDM Systems

    Huan-Chun WANG  De-Jhen HUANG  

     
    PAPER-Wireless Communication Technologies

      Vol:
    E95-B No:11
      Page(s):
    3480-3491

    This paper proposes a low-complexity concatenated (LCC) soft-in soft-out (SISO) detector for spreading OFDM systems. The LCC SISO detector uses the turbo principle to compute the extrinsic information of the optimal maximum a priori probability (MAP) SISO detector with extremely low complexity. To develop the LCC SISO detector, we first partition the spreading matrix into some concatenated sparse matrices separated by interleavers. Then, we use the turbo principle to concatenate some SISO detectors, which are separated by de-interleavers or interleavers. Each SISO detector computes the soft information for each sparse matrix. By exchanging the soft information between the SISO detectors, we find the extrinsic information of the MAP SISO detector with extremely low complexity. Simulation results show that using the LCC SISO detector produces a near-optimal performance for both uncoded and coded spreading OFDM systems. In addition, by using the LCC SISO detector, the spreading OFDM system significantly improves the BER of the conventional OFDM system.

  • A Countermeasure against Double Compression Based Image Forensic

    Shen WANG  Xiamu NIU  

     
    LETTER-Image Processing and Video Processing

      Vol:
    E95-D No:10
      Page(s):
    2577-2580

    Compressing a JPEG image twice will greatly decrease the values of some of its DCT coefficients. This effect can be easily detected by statistics methods. To defend this forensic method, we establish a model to evaluate the security and image quality influenced by the re-compression. Base on the model, an optimized adjustment of the DCT coefficients is achieved by Genetic Algorithm. Results show that the traces of double compression are removed while preserving image quality.

  • Improved Via-Programmable Structured ASIC VPEX3 and Its Evaluation

    Ryohei HORI  Tatsuya KITAMORI  Taisuke UEOKA  Masaya YOSHIKAWA  Takeshi FUJINO  

     
    PAPER-VLSI Design Technology and CAD

      Vol:
    E95-A No:9
      Page(s):
    1518-1528

    Various kinds of structured ASICs have been proposed that can customize logic functions using a few photomasks, which decreases the initial cost, especially that of expensive photo-masks. In the past, we have developed a via programmable structured ASIC “VPEX2” (Via Programmable logic device using EXclusive-or array) that is capable of changing logics on 2 via (the 1st and 3rd via) layers. The logic element (LE) of VPEX2 is composed of EXOR gate and 2 NOT gates. However, “VPEX2” architecture has the two important penalty, the area penalty is 5-6 times that of the ASIC and wiring congestion by detouring wires to avoid I/O terminals. In this paper, we propose a new architecture “VPEX3” in order to achieve the practical structures. In VPEX3, we applied three techniques for decrease area penalty and higher wiring efficiency: (1) LE area is reduced approximately 60% by omitting 1 NOT gate on a LE and the gate width reduction, (2) the kinds of configurable logic function on a single LE is increased from 13 to 22 by introducing “flexible AOI gate technique” and (3) flexible I/O terminal by introducing 2nd via as a programmable layers. Furthermore, the delay model for via programmable wiring is necessary in order to evaluate via programmable wiring architecture compared to standard cell ASIC. We extracted wiring delay characteristics from the ring oscillator test circuit using both of normal wiring and via-programmable wiring. These three new architectures and via programmable wiring-delay-model revealed that an area-delay product of “VPEX3” is as small as twice that of ASIC. Chip-cost estimation among FPGA, “VPEX2”, “VPEX3” and ASIC revealed that the “VPEX3” is the most cost-effective architecture for Systems-on-chips (SoCs) whose production volume is from one thousand to several tens of thousands units.

  • Wireless Secure Communications via Cooperative Relaying and Jamming

    Ling TANG  Hao CHEN  Jianhui WU  

     
    PAPER-Fundamental Theories for Communications

      Vol:
    E95-B No:9
      Page(s):
    2774-2784

    We consider wireless secure communications between a source and a destination aided by a multi-antenna relay, in the presence of an eavesdropper. In particular, two cooperation schemes of the relay are explored: cooperative relaying (CR) and cooperative jamming (CJ). We first investigate the transmit weight optimization of CR and CJ, for both cases with and without the eavesdropper's channel state information (ECSI). Then, for the case with ECSI, we derive the conditions under which CR achieves a higher secrecy rate than CJ; for the case without ECSI, we compare the secrecy rates of CR and CJ in high transmit power regimes. Building on this, we propose a novel hybrid scheme in which the relay utilizes both CR and CJ, and study the power allocation of the relay between CR and CJ for maximizing the secrecy rate under individual power constraints. Further, we study the case with imperfect channel state information (CSI) for both CR and CJ. At last, extensive numerical results are provided.

  • Polyphonic Music Transcription by Nonnegative Matrix Factorization with Harmonicity and Temporality Criteria

    Sang Ha PARK  Seokjin LEE  Koeng-Mo SUNG  

     
    LETTER-Engineering Acoustics

      Vol:
    E95-A No:9
      Page(s):
    1610-1614

    Non-negative matrix factorization (NMF) is widely used for music transcription because of its efficiency. However, the conventional NMF-based music transcription algorithm often causes harmonic confusion errors or time split-up errors, because the NMF decomposes the time-frequency data according to the activated frequency in its time. To solve these problems, we proposed an NMF with temporal continuity and harmonicity constraints. The temporal continuity constraint prevented the time split-up of the continuous time components, and the harmonicity constraint helped to bind the fundamental with harmonic frequencies by reducing the additional octave errors. The transcription performance of the proposed algorithm was compared with that of the conventional algorithms, which showed that the proposed method helped to reduce additional false errors and increased the overall transcription performance.

  • Hybrid Analysis of Radar Cross Section of Open-Ended Cavity Scatterers by Using Modified Physical Optics and Iterative Physical Optics

    Ryosuke HASABA  Makoto ANDO  

     
    PAPER-Electromagnetic Theory

      Vol:
    E95-C No:8
      Page(s):
    1399-1405

    Electromagnetic scattering at high-frequencies is computationally heavy. Radar cross section (RCS) of electrically large concave and convex objects are solved by using the hybrid method. For convex and concave surfaces, Modified-Vector Physical Optics (MPO) with enhanced accuracy and Iterative Physical Optics (IPO) taking multiple-reflections into account, are selectively and independently applied for convex and concave parts of the scatterer. The accuracy of RCS by this hybrid method is tested with the MoM based simulator Wipl-D as the reference. The RCS from relatively small scatterers with the dimension of the order of a few wavelengths can be successfully predicted.

  • Amplify-and-Forward Relay Filter Design with MIMO System for Two-Way Relay Channels

    Jeehwan NOH  Chungyong LEE  

     
    LETTER-Fundamental Theories for Communications

      Vol:
    E95-B No:7
      Page(s):
    2442-2445

    This letter considers a two-way relaying network where two nodes exchange their information based on the principle of physical layer network coding (PNC). We study the amplify-and-forward (AF) relay filter design with multiple-input multiple-output (MIMO) system. In order to maximize the sum-rate for information exchange, we propose a relay filter for two-way relaying network. Simulation results show that the proposed scheme performs better than the conventional schemes for two-way relay channel.

  • Time-Reversal MUSIC Imaging with Time-Domain Gating Technique

    Heedong CHOI  Yasutaka OGAWA  Toshihiko NISHIMURA  Takeo OHGANE  

     
    PAPER-Antennas and Propagation

      Vol:
    E95-B No:7
      Page(s):
    2377-2385

    A time-reversal (TR) approach with multiple signal classification (MUSIC) provides super-resolution for detection and localization using multistatic data collected from an array antenna system. The theory of TR-MUSIC assumes that the number of antenna elements is greater than that of scatterers (targets). Furthermore, it requires many sets of frequency-domain data (snapshots) in seriously noisy environments. Unfortunately, these conditions are not practical for real environments due to the restriction of a reasonable antenna structure as well as limited measurement time. We propose an approach that treats both noise reduction and relaxation of the transceiver restriction by using a time-domain gating technique accompanied with the Fourier transform before applying the TR-MUSIC imaging algorithm. Instead of utilizing the conventional multistatic data matrix (MDM), we employ a modified MDM obtained from the gating technique. The resulting imaging functions yield more reliable images with only a few snapshots regardless of the limitation of the antenna arrays.

201-220hit(469hit)