The search functionality is under construction.

Keyword Search Result

[Keyword] architecture(453hit)

1-20hit(453hit)

  • Prime-Factor GFFT Architecture for Fast Frequency Domain Decoding of Cyclic Codes

    Yanyan CHANG  Wei ZHANG  Hao WANG  Lina SHI  Yanyan LIU  

     
    LETTER-Coding Theory

      Pubricized:
    2023/07/10
      Vol:
    E107-A No:1
      Page(s):
    174-177

    This letter introduces a prime-factor Galois field Fourier transform (PF-GFFT) architecture to frequency domain decoding (FDD) of cyclic codes. Firstly, a fast FDD scheme is designed which converts the original single longer Fourier transform to a multi-dimensional smaller transform. Furthermore, a ladder-shift architecture for PF-GFFT is explored to solve the rearrangement problem of input and output data. In this regard, PF-GFFT is considered as a lower order spectral calculation scheme, which has sufficient preponderance in reducing the computational complexity. Simulation results show that PF-GFFT compares favorably with the current general GFFT, simplified-GFFT (S-GFFT), and circular shifts-GFFT (CS-GFFT) algorithms in time-consuming cost, and is nearly an order of magnitude or smaller than them. The superiority is a benefit to improving the decoding speed and has potential application value in decoding cyclic codes with longer code lengths.

  • Recent Progress in Optical Network Design and Control towards Human-Centered Smart Society Open Access

    Takashi MIYAMURA  Akira MISAWA  

     
    INVITED PAPER

      Pubricized:
    2023/09/19
      Vol:
    E107-B No:1
      Page(s):
    2-15

    In this paper, we investigate the evolution of an optical network architecture and discuss the future direction of research on optical network design and control. We review existing research on optical network design and control and present some open challenges. One of the important open challenges lies in multilayer resource optimization including IT and optical network resources. We propose an adaptive joint optimization method of IT resources and optical spectrum under time-varying traffic demand in optical networks while avoiding an increase in operation cost. We formulate the problem as mixed integer linear programming and then quantitatively evaluate the trade-off relationship between the optimality of reconfiguration and operation cost. We demonstrate that we can achieve sufficient network performance through the adaptive joint optimization while suppressing an increase in operation cost.

  • Bandwidth Abundant Optical Networking Enabled by Spatially-Jointed and Multi-Band Flexible Waveband Routing Open Access

    Hiroshi HASEGAWA  

     
    INVITED PAPER

      Pubricized:
    2023/09/19
      Vol:
    E107-B No:1
      Page(s):
    16-26

    The novel optical path routing architecture named flexible waveband routing networks is reviewed in this paper. The nodes adopt a two-stage path routing scheme where wavelength selective switches (WSSs) bundle optical paths and form a small number of path groups and then optical switches without wavelength selectivity route these groups to desired outputs. Substantial hardware scale reduction can be achieved as the scheme enables us to use small scale WSSs, and even more, share a WSS by multiple input cores/fibers through the use of spatially-joint-switching. Furthermore, path groups distributed over multiple bands can be switched by these optical switches and thus the adaptation to multi-band transmission is straightforward. Network-wide numerical simulations and transmission experiments that assume multi-band transmission demonstrate the validity of flexible waveband routing.

  • A System Architecture for Mobility as a Service in Autonomous Transportation Systems

    Weitao JIAN  Ming CAI  Wei HUANG  Shichang LI  

     
    PAPER-Intelligent Transport System

      Pubricized:
    2023/06/26
      Vol:
    E106-A No:12
      Page(s):
    1555-1568

    Mobility as a Service (MaaS) is a smart mobility model that integrates mobility services to deliver transportation needs through a single interface, offering users flexible and personalizd mobility. This paper presents a structural approach for developing a MaaS system architecture under Autonomous Transportation Systems (ATS), which is a new transition from the Intelligent Transportation Systems (ITS) with emerging technologies. Five primary components, including system elements, user needs, services, functions, and technologies, are defined to represent the system architecture. Based on the components, we introduce three architecture elements: functional architecture, logical architecture and physical architecture. Furthermore, this paper presents an evaluation process, links the architecture elements during the process and develops a three-layer structure for system performance evaluation. The proposed MaaS system architecture design can help the administration make services planning and implement planned services in an organized way, and support further technical deployment of mobility services.

  • Architecture for Beyond 5G Services Enabling Cross-Industry Orchestration Open Access

    Kentaro ISHIZU  Mitsuhiro AZUMA  Hiroaki YAMAGUCHI  Akihito KATO  Iwao HOSAKO  

     
    INVITED PAPER

      Pubricized:
    2023/07/27
      Vol:
    E106-B No:12
      Page(s):
    1303-1312

    Beyond 5G is the next generation mobile communication system expected to be used from around 2030. Services in the 2030s will be composed of multiple systems provided by not only the conventional networking industry but also a wide range of industries. However, the current mobile communication system architecture is designed with a focus on networking performance and not oriented to accommodate and optimize potential systems including service management and applications, though total resource optimizations and service level performance enhancement among the systems are required. In this paper, a new concept of the Beyond 5G cross-industry service platform (B5G-XISP) is presented on which multiple systems from different industries are appropriately organized and optimized for service providers. Then, an architecture of the B5G-XISP is proposed based on requirements revealed from issues of current mobile communication systems. The proposed architecture is compared with other architectures along with use cases of an assumed future supply chain business.

  • Envisioning 6G Outlook and Technical Enablers Open Access

    Hideaki TAKAHASHI  Hisashi ONOZAWA  Satish K.  Mikko A. UUSITALO  

     
    INVITED PAPER

      Pubricized:
    2023/05/23
      Vol:
    E106-B No:9
      Page(s):
    724-734

    6G research has been extensively conducted by individual organizations as well as pre-competitive joint research initiatives. One of the joint initiatives is the Hexa-X European 6G flagship project. This paper shares the up-to-date deliverables through which Hexa-X is envisioning the 6G era. The Hexa-X deliverables presented in this paper encompass the overall 6G vision, use cases and technical enablers. The latest deliverables on tenets of 6G architectural design and central pillars of technical enablers are presented. In conclusion, the authors encourage joint research and PoC collaboration with Japanese industry, academia and research initiatives for the potential technical enablers presented in this paper, aimed at global harmonization towards 6G standards.

  • Non-Stop Microprocessor for Fault-Tolerant Real-Time Systems Open Access

    Shota NAKABEPPU  Nobuyuki YAMASAKI  

     
    PAPER

      Pubricized:
    2023/01/25
      Vol:
    E106-C No:7
      Page(s):
    365-381

    It is very important to design an embedded real-time system as a fault-tolerant system to ensure dependability. In particular, when a power failure occurs, restart processing after power restoration is required in a real-time system using a conventional processor. Even if power is restored quickly, the restart process takes a long time and causes deadline misses. In order to design a fault-tolerant real-time system, it is necessary to have a processor that can resume operation in a short time immediately after power is restored, even if a power failure occurs at any time. Since current embedded real-time systems are required to execute many tasks, high schedulability for high throughput is also important. This paper proposes a non-stop microprocessor architecture to achieve a fault-tolerant real-time system. The non-stop microprocessor is designed so as to resume normal operation even if a power failure occurs at any time, to achieve little performance degradation for high schedulability even if checkpoint creations and restorations are performed many times, to control flexibly non-volatile devices through software configuration, and to ensure data consistency no matter when a checkpoint restoration is performed. The evaluation shows that the non-stop microprocessor can restore a checkpoint within 5µsec and almost hide the overhead of checkpoint creations. The non-stop microprocessor with such capabilities will be an essential component of a fault-tolerant real-time system with high schedulability.

  • An eFPGA Generation Suite with Customizable Architecture and IDE

    Morihiro KUGA  Qian ZHAO  Yuya NAKAZATO  Motoki AMAGASAKI  Masahiro IIDA  

     
    PAPER

      Pubricized:
    2022/10/07
      Vol:
    E106-A No:3
      Page(s):
    560-574

    From edge devices to cloud servers, providing optimized hardware acceleration for specific applications has become a key approach to improve the efficiency of computer systems. Traditionally, many systems employ commercial field-programmable gate arrays (FPGAs) to implement dedicated hardware accelerator as the CPU's co-processor. However, commercial FPGAs are designed in generic architectures and are provided in the form of discrete chips, which makes it difficult to meet increasingly diversified market needs, such as balancing reconfigurable hardware resources for a specific application, or to be integrated into a customer's system-on-a-chip (SoC) in the form of embedded FPGA (eFPGA). In this paper, we propose an eFPGA generation suite with customizable architecture and integrated development environment (IDE), which covers the entire eFPGA design generation, testing, and utilization stages. For the eFPGA design generation, our intellectual property (IP) generation flow can explore the optimal logic cell, routing, and array structures for given target applications. For the testability, we employ a previously proposed shipping test method that is 100% accurate at detecting all stuck-at faults in the entire FPGA-IP. In addition, we propose a user-friendly and customizable Web-based IDE framework for the generated eFPGA based on the NODE-RED development framework. In the case study, we show an eFPGA architecture exploration example for a differential privacy encryption application using the proposed suite. Then we show the implementation and evaluation of the eFPGA prototype with a 55nm test element group chip design.

  • A Study of Phase-Adjusting Architectures for Low-Phase-Noise Quadrature Voltage-Controlled Oscillators Open Access

    Mamoru UGAJIN  Yuya KAKEI  Nobuyuki ITOH  

     
    PAPER-Electronic Circuits

      Pubricized:
    2022/08/03
      Vol:
    E106-C No:2
      Page(s):
    59-66

    Quadrature voltage-controlled oscillators (VCOs) with current-weight-average and voltage-weight-average phase-adjusting architectures are studied. The phase adjusting equalizes the oscillation frequency to the LC-resonant frequency. The merits of the equalization are explained by using Leeson's phase noise equation and the impulse sensitivity function (ISF). Quadrature VCOs with the phase-adjusting architectures are fabricated using 180-nm TSMC CMOS and show low-phase-noise performances compared to a conventional differential VCO. The ISF analysis and small-signal analysis also show that the drawbacks of the current-weight-average phase-adjusting and voltage-weight-average phase-adjusting architectures are current-source noise effect and large additional capacitance, respectively. A voltage-average-adjusting circuit with a source follower at its input alleviates the capacitance increase.

  • A Compression Router for Low-Latency Network-on-Chip

    Naoya NIWA  Yoshiya SHIKAMA  Hideharu AMANO  Michihiro KOIBUCHI  

     
    PAPER-Computer System

      Pubricized:
    2022/11/08
      Vol:
    E106-D No:2
      Page(s):
    170-180

    Network-on-Chips (NoCs) are important components for scalable many-core processors. Because the performance of parallel applications is usually sensitive to the latency of NoCs, reducing it is a primary requirement. In this study, a compression router that hides the (de)compression-operation delay is proposed. The compression router (de)compresses the contents of the incoming packet before the switch arbitration is completed, thus shortening the packet length without latency penalty and reducing the network injection-and-ejection latency. Evaluation results show that the compression router improves up to 33% of the parallel application performance (conjugate gradients (CG), fast Fourier transform (FT), integer sort (IS), and traveling salesman problem (TSP)) and 63% of the effective network throughput by 1.8 compression ratio on NoC. The cost is an increase in router area and its energy consumption by 0.22mm2 and 1.6 times compared to the conventional virtual-channel router. Another finding is that off-loading the decompressor onto a network interface decreases the compression-router area by 57% at the expense of the moderate increase in communication latency.

  • An Efficient Method to Decompose and Map MPMCT Gates That Accounts for Qubit Placement

    Atsushi MATSUO  Wakaki HATTORI  Shigeru YAMASHITA  

     
    PAPER-Algorithms and Data Structures

      Pubricized:
    2022/08/10
      Vol:
    E106-A No:2
      Page(s):
    124-132

    Mixed-Polarity Multiple-Control Toffoli (MPMCT) gates are generally used to implement large control logic functions for quantum computation. A logic circuit consisting of MPMCT gates needs to be mapped to a quantum computing device that invariably has a physical limitation, which means we need to (1) decompose the MPMCT gates into one- or two-qubit gates, and then (2) insert SWAP gates so that all the gates can be performed on Nearest Neighbor Architectures (NNAs). Up to date, the above two processes have only been studied independently. In this work, we investigate that the total number of gates in a circuit can be decreased if the above two processes are considered simultaneously as a single step. We developed a method that inserts SWAP gates while decomposing MPMCT gates unlike most of the existing methods. Also, we consider the effect on the latter part of a circuit carefully by considering the qubit placement when decomposing an MPMCT gate. Experimental results demonstrate the effectiveness of our method.

  • Vehicle Re-Identification Based on Quadratic Split Architecture and Auxiliary Information Embedding

    Tongwei LU  Hao ZHANG  Feng MIN  Shihai JIA  

     
    LETTER-Image

      Pubricized:
    2022/05/24
      Vol:
    E105-A No:12
      Page(s):
    1621-1625

    Convolutional neural network (CNN) based vehicle re-identificatioin (ReID) inevitably has many disadvantages, such as information loss caused by downsampling operation. Therefore we propose a vision transformer (Vit) based vehicle ReID method to solve this problem. To improve the feature representation of vision transformer and make full use of additional vehicle information, the following methods are presented. (I) We propose a Quadratic Split Architecture (QSA) to learn both global and local features. More precisely, we split an image into many patches as “global part” and further split them into smaller sub-patches as “local part”. Features of both global and local part will be aggregated to enhance the representation ability. (II) The Auxiliary Information Embedding (AIE) is proposed to improve the robustness of the model by plugging a learnable camera/viewpoint embedding into Vit. Experimental results on several benchmarks indicate that our method is superior to many advanced vehicle ReID methods.

  • Facial Recognition of Dairy Cattle Based on Improved Convolutional Neural Network

    Zhi WENG  Longzhen FAN  Yong ZHANG  Zhiqiang ZHENG  Caili GONG  Zhongyue WEI  

     
    PAPER-Image Processing and Video Processing

      Pubricized:
    2022/03/02
      Vol:
    E105-D No:6
      Page(s):
    1234-1238

    As the basis of fine breeding management and animal husbandry insurance, individual recognition of dairy cattle is an important issue in the animal husbandry management field. Due to the limitations of the traditional method of cow identification, such as being easy to drop and falsify, it can no longer meet the needs of modern intelligent pasture management. In recent years, with the rise of computer vision technology, deep learning has developed rapidly in the field of face recognition. The recognition accuracy has surpassed the level of human face recognition and has been widely used in the production environment. However, research on the facial recognition of large livestock, such as dairy cattle, needs to be developed and improved. According to the idea of a residual network, an improved convolutional neural network (Res_5_2Net) method for individual dairy cow recognition is proposed based on dairy cow facial images in this letter. The recognition accuracy on our self-built cow face database (3012 training sets, 1536 test sets) can reach 94.53%. The experimental results show that the efficiency of identification of dairy cows is effectively improved.

  • A Metadata Prefetching Mechanism for Hybrid Memory Architectures Open Access

    Shunsuke TSUKADA  Hikaru TAKAYASHIKI  Masayuki SATO  Kazuhiko KOMATSU  Hiroaki KOBAYASHI  

     
    PAPER

      Pubricized:
    2021/12/03
      Vol:
    E105-C No:6
      Page(s):
    232-243

    A hybrid memory architecture (HMA) that consists of some distinct memory devices is expected to achieve a good balance between high performance and large capacity. Unlike conventional memory architectures, the HMA needs the metadata for data management since the data are migrated between the memory devices during the execution of an application. The memory controller caches the metadata to avoid accessing the memory devices for the metadata reference. However, as the amount of the metadata increases in proportion to the size of the HMA, the memory controller needs to handle a large amount of metadata. As a result, the memory controller cannot cache all the metadata and increases the number of metadata references. This results in an increase in the access latency to reach the target data and degrades the performance. To solve this problem, this paper proposes a metadata prefetching mechanism for HMAs. The proposed mechanism loads the metadata needed in the near future by prefetching. Moreover, to increase the effect of the metadata prefetching, the proposed mechanism predicts the metadata used in the near future based on an address difference that is the difference between two consecutive access addresses. The evaluation results show that the proposed metadata prefetching mechanism can improve the instructions per cycle by up to 44% and 9% on average.

  • A Reconfigurable 74-140Mbps LDPC Decoding System for CCSDS Standard

    Yun CHEN  Jimin WANG  Shixian LI  Jinfou XIE  Qichen ZHANG  Keshab K. PARHI  Xiaoyang ZENG  

     
    PAPER

      Pubricized:
    2021/05/25
      Vol:
    E104-A No:11
      Page(s):
    1509-1515

    Accumulate Repeat-4 Jagged-Accumulate (AR4JA) codes, which are channel codes designed for deep-space communications, are a series of QC-LDPC codes. Structures of these codes' generator matrix can be exploited to design reconfigurable encoders. To make the decoder reconfigurable and achieve shorter convergence time, turbo-like decoding message passing (TDMP) is chosen as the hardware decoder's decoding schedule and normalized min-sum algorithm (NMSA) is used as decoding algorithm to reduce hardware complexity. In this paper, we propose a reconfigurable decoder and present its FPGA implementation results. The decoder can achieve throughput greater than 74 Mbps.

  • PSTNet: Crowd Flow Prediction by Pyramidal Spatio-Temporal Network

    Enze YANG  Shuoyan LIU  Yuxin LIU  Kai FANG  

     
    LETTER-Biocybernetics, Neurocomputing

      Pubricized:
    2021/04/12
      Vol:
    E104-D No:10
      Page(s):
    1780-1783

    Crowd flow prediction in high density urban scenes is involved in a wide range of intelligent transportation and smart city applications, and it has become a significant topic in urban computing. In this letter, a CNN-based framework called Pyramidal Spatio-Temporal Network (PSTNet) for crowd flow prediction is proposed. Spatial encoding is employed for spatial representation of external factors, while prior pyramid enhances feature dependence of spatial scale distances and temporal spans, after that, post pyramid is proposed to fuse the heterogeneous spatio-temporal features of multiple scales. Experimental results based on TaxiBJ and MobileBJ demonstrate that proposed PSTNet outperforms the state-of-the-art methods.

  • SLIT: An Energy-Efficient Reconfigurable Hardware Architecture for Deep Convolutional Neural Networks Open Access

    Thi Diem TRAN  Yasuhiko NAKASHIMA  

     
    PAPER

      Pubricized:
    2020/12/18
      Vol:
    E104-C No:7
      Page(s):
    319-329

    Convolutional neural networks (CNNs) have dominated a range of applications, from advanced manufacturing to autonomous cars. For energy cost-efficiency, developing low-power hardware for CNNs is a research trend. Due to the large input size, the first few convolutional layers generally consume most latency and hardware resources on hardware design. To address these challenges, this paper proposes an innovative architecture named SLIT to extract feature maps and reconstruct the first few layers on CNNs. In this reconstruction approach, total multiply-accumulate operations are eliminated on the first layers. We evaluate new topology with MNIST, CIFAR, SVHN, and ImageNet datasets on image classification application. Latency and hardware resources of the inference step are evaluated on the chip ZC7Z020-1CLG484C FPGA with Lenet-5 and VGG schemes. On the Lenet-5 scheme, our architecture reduces 39% of latency and 70% of hardware resources with a 0.456 W power consumption compared to previous works. Even though the VGG models perform with a 10% reduction in hardware resources and latency, we hope our overall results will potentially give a new impetus for future studies to reach a higher optimization on hardware design. Notably, the SLIT architecture efficiently merges with most popular CNNs at a slightly sacrificing accuracy of a factor of 0.27% on MNIST, ranging from 0.5% to 1.5% on CIFAR, approximately 2.2% on ImageNet, and remaining the same on SVHN databases.

  • Packet Processing Architecture with Off-Chip Last Level Cache Using Interleaved 3D-Stacked DRAM Open Access

    Tomohiro KORIKAWA  Akio KAWABATA  Fujun HE  Eiji OKI  

     
    PAPER-Network System

      Pubricized:
    2020/08/06
      Vol:
    E104-B No:2
      Page(s):
    149-157

    The performance of packet processing applications is dependent on the memory access speed of network systems. Table lookup requires fast memory access and is one of the most common processes in various packet processing applications, which can be a dominant performance bottleneck. Therefore, in Network Function Virtualization (NFV)-aware environments, on-chip fast cache memories of a CPU of general-purpose hardware become critical to achieve high performance packet processing speeds of over tens of Gbps. Also, multiple types of applications and complex applications are executed in the same system simultaneously in carrier network systems, which require adequate cache memory capacities as well. In this paper, we propose a packet processing architecture that utilizes interleaved 3 Dimensional (3D)-stacked Dynamic Random Access Memory (DRAM) devices as off-chip Last Level Cache (LLC) in addition to several levels of dedicated cache memories of each CPU core. Entries of a lookup table are distributed in every bank and vault to utilize both bank interleaving and vault-level memory parallelism. Frequently accessed entries in 3D-stacked DRAM are also cached in on-chip dedicated cache memories of each CPU core. The evaluation results show that the proposed architecture reduces the memory access latency by 57%, and increases the throughput by 100% while reducing the blocking probability but about 10% compared to the architecture with shared on-chip LLC. These results indicate that 3D-stacked DRAM can be practical as off-chip LLC in parallel packet processing systems.

  • Neural Architecture Search for Convolutional Neural Networks with Attention

    Kohei NAKAI  Takashi MATSUBARA  Kuniaki UEHARA  

     
    PAPER-Image Recognition, Computer Vision

      Pubricized:
    2020/10/26
      Vol:
    E104-D No:2
      Page(s):
    312-321

    The recent development of neural architecture search (NAS) has enabled us to automatically discover architectures of neural networks with high performance within a few days. Convolutional neural networks extract fruitful features by repeatedly applying standard operations (convolutions and poolings). However, these operations also extract useless or even disturbing features. Attention mechanisms enable neural networks to discard information of no interest, having achieved the state-of-the-art performance. While a variety of attentions for CNNs have been proposed, current NAS methods have paid a little attention to them. In this study, we propose a novel NAS method that searches attentions as well as operations. We examined several patterns to arrange attentions and operations, and found that attentions work better when they have their own search space and follow operations. We demonstrate the superior performance of our method in experiments on CIFAR-10, CIFAR-100, and ImageNet datasets. The found architecture achieved lower classification error rates and required fewer parameters compared to those found by current NAS methods.

  • A Power Analysis Attack Countermeasure Based on Random Data Path Execution For CGRA

    Wei GE  Shenghua CHEN  Benyu LIU  Min ZHU  Bo LIU  

     
    PAPER-Computer System

      Pubricized:
    2020/02/10
      Vol:
    E103-D No:5
      Page(s):
    1013-1022

    Side-channel Attack, such as simple power analysis and differential power analysis (DPA), is an efficient method to gather the key, which challenges the security of crypto chips. Side-channel Attack logs the power trace of the crypto chip and speculates the key by statistical analysis. To reduce the threat of power analysis attack, an innovative method based on random execution and register randomization is proposed in this paper. In order to enhance ability against DPA, the method disorders the correspondence between power trace and operands by scrambling the data execution sequence randomly and dynamically and randomize the data operation path to randomize the registers that store intermediate data. Experiments and verification are done on the Sakura-G FPGA platform. The results show that the key is not revealed after even 2 million power traces by adopting the proposed method and only 7.23% slices overhead and 3.4% throughput rate cost is introduced. Compared to unprotected chip, it increases more than 4000× measure to disclosure.

1-20hit(453hit)