The search functionality is under construction.

Author Search Result

[Author] Hidetoshi ONODERA(83hit)

1-20hit(83hit)

  • A Current Mode Cyclic A/D Converter with Submicron Processes

    Masaki KONDO  Hidetoshi ONODERA  Keikichi TAMARU  

     
    LETTER

      Vol:
    E80-A No:2
      Page(s):
    360-364

    We present a current mode cyclic analog-to-digital converter that is suitable for submicron LSI fabrication processes. Our converter is composed of sample-and-hold circuits with regulated cascode configuration which offers high output impedance and wide outoput range. The circuit requires small area since the architecture depends on neither precise analog transistors nor ratio-matched capacitors. We have designed and fabricated a test circuit that has an area of 0.014mm2 using a 0.8µm CMOS process. The circuit is examined to perform 8-bit resolution at a sampling rate of 40kHz and average power dissipation of 370µW at 4V supply voltage.

  • Development of Module Generators from Extracted Design Procedures--Application to Analog Device Generation--

    Takashi MORIE   Hidetoshi ONODERA  Keikichi TAMARU  

     
    PAPER

      Vol:
    E78-A No:2
      Page(s):
    160-168

    This paper proposes a new approach for the development of a module generator that can parameterize both the size and the structure of layout. The proposed method acquires a design procedure from the design process of a designer, and reuses it to synthesize new layouts with different input parameters that affect the size or the structure of layout. In this method, a designer creates a module layout on a layout editor instead of writing a program. From his design process, a procedure to synthesize the layout is automatically derived. Then, it is generalized so that it could be valid under different values of input parameters. The generalized procedure is independent of design rules, and is capable of synthesizing error-free module layouts of different size and structure. Also, the procedure includes designer's requirements on how the layout should be designed. The experimental results of applying the approach for developing generators of analog device components show effectiveness of our approach.

  • Compaction with Shape Optimization and Its Application to Layout Recycling

    Kazuhisa OKADA  Hidetoshi ONODERA  Keikichi TAMURA  

     
    PAPER

      Vol:
    E78-A No:2
      Page(s):
    169-176

    We propose a new compaction problem that allows layout elements to have many shape possibilities. The objective of the problem is to find not only positions but also shapes of layout elements. We present an efficient method to solve the problem--compaction with shape optimization. This method simplifies the problem by considering the optimization of shapes only for the layout elements on a critical path. The layout is compacted step by step while optimizing the shapes of layout elements. Another importance of this compaction technique is that it makes layout to be "recyclable" for other set of device parameters. The experimental examples, which attempt shape optimization and recycle of analog layout, confirms the importance and efficiency of our method.

  • Crosstalk Noise Estimation for Generic RC Trees

    Masanori HASHIMOTO  Masao TAKAHASHI  Hidetoshi ONODERA  

     
    PAPER-Parasitics and Noise

      Vol:
    E86-A No:12
      Page(s):
    2965-2973

    We propose an estimation method of crosstalk noise for generic RC trees. The proposed method derives an analytic waveform of crosstalk noise in a 2-π equivalent circuit. The peak voltage is calculated from the closed-form expression. We also develop a transformation method from generic RC trees with branches into the 2-π model circuit. The proposed method can hence estimate crosstalk noise for any RC trees. Our estimation method is evaluated in a 0.13 µm technology. The peak noise of two partially-coupled interconnects is estimated with the average error of 11%. Our method transforms generic RC interconnects with branches into the 2-π model with 14% error on average.

  • A Comprehensive Simulation and Test Environment for Prototype VLSI Verification

    Kazutoshi KOBAYASHI  Hidetoshi ONODERA  

     
    PAPER-Verification

      Vol:
    E87-D No:3
      Page(s):
    630-636

    This paper describes a comprehensive simulation and test environment for prototype LSI verification. We develop a Perl package, ST, for simulation & test of digital circuits. A designer can describe a testbench with the Perl syntax, which can be converted to various kinds of simulators and LSI testers. Parameters such as a target simulator/tester, cycle time and voltage levels can be changed very easily just modifying arguments of subroutines. We also develop DUT boards which consist of a tester-dependent mother board and a package-dependent daughter board. Using ST and the DUT boards, a designer can start verification just after getting fabricated LSIs.

  • Effects of On-Chip Inductance on Power Distribution Grid

    Atsushi MURAMATSU  Masanori HASHIMOTO  Hidetoshi ONODERA  

     
    LETTER

      Vol:
    E88-A No:12
      Page(s):
    3564-3572

    With increase of clock frequency, on-chip wire inductance starts to play an important role in power/ground distribution analysis, although it has not been considered so far. We perform a case study work that evaluates relation between decoupling capacitance position and noise suppression effect, and we reveal that placing decoupling capacitance close to current load is necessary for noise reduction. We experimentally show that impact of on-chip inductance becomes small when on-chip decoupling capacitance is well placed according to local power consumption. We also examine influences of grid pitch, wire area, and spacing between paired power and ground wires on power supply noise. When effect of on-chip inductance on power/ground noise is significant, minification of grid pitch is more efficient than increase in wire area, and small spacing reduces power noise as we expected.

  • On-Chip Detection of Process Shift and Process Spread for Post-Silicon Diagnosis and Model-Hardware Correlation

    A.K.M. Mahfuzul ISLAM  Hidetoshi ONODERA  

     
    PAPER

      Vol:
    E96-D No:9
      Page(s):
    1971-1979

    This paper proposes the use of on-chip monitor circuits to detect process shift and process spread for post-silicon diagnosis and model-hardware correlation. The amounts of shift and spread allow test engineers to decide the correct test strategy. Monitor structures suitable for detection of process shift and process spread are discussed. Test chips targeting a nominal process corner as well as 4 other corners of “slow-slow”, “fast-fast”, “slow-fast” and “fast-slow” are fabricated in a 65nm process. The monitor structures correctly detects the location of each chip in the process space. The outputs of the monitor structures are further analyzed and decomposed into the process variations in threshold voltage and gate length for model-hardware correlation. Path delay predictions match closely with the silicon values using the extracted parameter shifts. On-chip monitors capable of detecting process shift and process spread are helpful for performance prediction of digital and analog circuits, adaptive delay testing and post-silicon statistical analysis.

  • Timing Analysis Considering Temporal Supply Voltage Fluctuation

    Masanori HASHIMOTO  Junji YAMAGUCHI  Takashi SATO  Hidetoshi ONODERA  

     
    PAPER-Verification and Timing Analysis

      Vol:
    E91-D No:3
      Page(s):
    655-660

    This paper proposes an approach to cope with temporal power/ground voltage fluctuation for static timing analysis. The proposed approach replaces temporal noise with an equivalent power/ground voltage. This replacement reduces complexity that comes from the variety in noise waveform shape, and improves compatibility of power/ground noise aware timing analysis with conventional timing analysis framework. Experimental results show that the proposed approach can compute gate propagation delay considering temporal noise within 10% error in maximum and 0.5% in average.

  • A Hierarchical Statistical Optimization Method Driven by Constraint Generation Based on Mahalanobis' Distance

    Tomohiro FUJITA  Hidetoshi ONODERA  

     
    PAPER

      Vol:
    E84-A No:3
      Page(s):
    727-734

    This paper presents a method of statistical system optimization. The method uses a constraint generation, which is a design methodology based on a hierarchical top-down design, to give specifications to sub-circuits of the system. The specifications are generated not only to reduce the costs of sub-circuits but also to take adequate margin to achieve enough yield of the system. In order to create an appropriate amount of margin, a term which expresses a statistical figure based on Mahalanobis' distance is added to the constraint generation problem. The method is applied to a PLL, and it is confirmed that the yield of the lock-up time reaches 100% after the optimization.

  • A Synthesis Method Based on Multi-Stage Optimization for Power-Efficient Integrated Optical Logic Circuits

    Ryosuke MATSUO  Jun SHIOMI  Tohru ISHIHARA  Hidetoshi ONODERA  Akihiko SHINYA  Masaya NOTOMI  

     
    PAPER

      Pubricized:
    2021/05/18
      Vol:
    E104-A No:11
      Page(s):
    1546-1554

    Optical logic circuits based on integrated nanophotonics attract significant interest due to their ultra-high-speed operation. However, the power dissipation of conventional optical logic circuits is exponential to the number of inputs of target logic functions. This paper proposes a synthesis method reducing power dissipation to a polynomial order of the number of inputs while exploiting the high-speed nature. Our method divides the target logic function into multiple sub-functions with Optical-to-Electrical (OE) converters. Each sub-function has a smaller number of inputs than that of the original function, which enables to exponentially reduce the power dissipated by an optical logic circuit representing the sub-function. The proposed synthesis method can mitigate the OE converter delay overhead by parallelizing sub-functions. We apply the proposed synthesis method to the ISCAS'85 benchmark circuits. The power consumption of the conventional circuits based on the Binary Decision Diagram (BDD) is at least three orders of magnitude larger than that of the optical logic circuits synthesized by the proposed method. The proposed method reduces the power consumption to about 100mW. The delay of almost all the circuits synthesized by the proposed method is kept less than four times the delay of the conventional BDD-based circuit.

  • Supply and Threshold Voltage Scaling for Minimum Energy Operation over a Wide Operating Performance Region

    Shoya SONODA  Jun SHIOMI  Hidetoshi ONODERA  

     
    PAPER

      Pubricized:
    2021/05/14
      Vol:
    E104-A No:11
      Page(s):
    1566-1576

    A method for runtime energy optimization based on the supply voltage (Vdd) and the threshold voltage (Vth) scaling is proposed. This paper refers to the optimal voltage pair, which minimizes the energy consumption of LSI circuits under a target delay constraint, as a Minimum Energy Point (MEP). The MEP dynamically fluctuates depending on the operating conditions determined by a target delay constraint, an activity factor and a chip temperature. In order to track the MEP, this paper proposes a closed-form continuous function that determines the MEP over a wide operating performance region ranging from the above-threshold region down to the sub-threshold region. Based on the MEP determination formula, an MEP tracking algorithm is also proposed. The MEP tracking algorithm estimates the MEP even though the operating conditions widely change. Measurement results based on a 32-bit RISC processor fabricated in a 65-nm Silicon On Thin Buried oxide (SOTB) process technology show that the proposed method estimates the MEP within a 5% energy loss in comparison with the actual MEP operation.

  • A Necessary and Sufficient Condition of Supply and Threshold Voltages in CMOS Circuits for Minimum Energy Point Operation

    Jun SHIOMI  Tohru ISHIHARA  Hidetoshi ONODERA  

     
    PAPER

      Vol:
    E100-A No:12
      Page(s):
    2764-2775

    Scaling supply voltage (VDD) and threshold voltage (Vth) dynamically has a strong impact on energy efficiency of CMOS LSI circuits. Techniques for optimizing VDD and Vth simultaneously under dynamic workloads are thus widely investigated over the past 15 years. In this paper, we refer to the optimum pair of VDD and Vth, which minimizes the energy consumption of a circuit under a specific performance constraint, as a minimum energy point (MEP). Based on the simple transregional models of a CMOS circuit, this paper derives a simple necessary and sufficient condition for the MEP operation. The simple condition helps find the MEP of CMOS circuits. Measurement results using standard-cell based memories (SCMs) fabricated in a 65-nm process technology also validate the condition derived in this paper.

  • Area-Effective Inductive Peaking with Interwoven Inductor for High-Speed Laser-Diode Driver for Optical Communication System

    Takeshi KUBOKI  Yusuke OHTOMO  Akira TSUCHIYA  Keiji KISHINE  Hidetoshi ONODERA  

     
    PAPER

      Vol:
    E95-A No:2
      Page(s):
    479-486

    This paper presents an area-effective bandwidth enhancement technique using interwoven inductors. Inductive peaking is a common practice for bandwidth enhancement, however the area overhead of inductors is a serious issue. We implement six or four inductors into an interwoven inductor. Furthermore parasitics of the inductors can be reduced. The proposed inductor is applied to a laser-diode driver in a 0.18 µm CMOS. Compared to conventional shunt-peaking, the proposed circuit achieves 1.6 times faster operation and 60% reduction in power consumption under the condition for the same amount of data transmission and the LD driving current. The interwoven inductor can reduce the circuit area by 26%. Parasitic capacitance in interwoven inductor is discussed. Simulation results reveal that line-to-line capacitance is a significant factor on bandwidth degradation.

  • Low-Power Design of CML Driver for On-Chip Transmission-Lines Using Impedance-Unmatched Driver

    Takeshi KUBOKI  Akira TSUCHIYA  Hidetoshi ONODERA  

     
    PAPER

      Vol:
    E90-C No:6
      Page(s):
    1274-1281

    This paper proposes a design technique to reduce the power dissipation of CML driver for on-chip transmission-lines. CML drivers can operate at higher frequency than conventional static CMOS logic drivers. On the other hand, the power dissipation is larger than that of CMOS static logic drivers. The proposed method reduces the power dissipation by using an impedance-unmatched driver instead of the conventional impedance-matched driver. Measurement results show that the proposed method reduces the power dissipation by 32% compared with a conventional design at 12.5 Gbps.

  • Design Methodology for Variation Tolerant D-Flip-Flop Using Regression Analysis

    Shinichi NISHIZAWA  Hidetoshi ONODERA  

     
    PAPER

      Vol:
    E101-A No:12
      Page(s):
    2222-2230

    This paper describes a design methodology for process variation aware D-Flip-Flop (DFF) using regression analysis. We propose to use a regression analysis to model the worst-case delay characteristics of a DFF under process variation. We utilize the regression equation for transistor width tuning of the DFF to improve its worst-case delay performance. Regression analysis can not only identify the performance-critical transistors inside the DFF, but also shows these impacts on DFF delay performance in quantitative form. Proposed design methodology is verified using Monte-Carlo simulation. The result shows the proposed method achieves to design a DFF which has similar or better delay characteristics in comparison with the DFF designed by an experienced cell designer.

  • A Design Method of a Cell-Based Amplifier for Body Bias Generation

    Takuya KOYANAGI  Jun SHIOMI  Tohru ISHIHARA  Hidetoshi ONODERA  

     
    PAPER

      Vol:
    E102-C No:7
      Page(s):
    565-572

    Body bias generators are useful circuits that can reduce variability and power dissipation in LSI circuits. However, the amplifier implemented into the body bias generator is difficult to design because of its complexity. To overcome the difficulty, this paper proposes a clearer cell-based design method of the amplifier than the existing cell-based design methods. The proposed method is based on a simple analytical model, which enables to easily design the amplifiers under various operating conditions. First, we introduce a small signal equivalent circuit of two-stage amplifiers by which we approximate a three-stage amplifier, and introduce a method for determining its design parameters based on the analytical model. Second, we propose a method of tuning parameters such as cell-based phase compensation elements and drive-strength of the output stage. Finally, based on the test chip measurement, we show the advantage of the body bias generator we designed in a cell-based flow over existing designs.

  • A Real-Time Low-Rate Video Compression Algorithm Using Multi-Stage Hierarchical Vector Quantization

    Kazutoshi KOBAYASHI  Kazuhiko TERADA  Hidetoshi ONODERA  Keikichi TAMARU  

     
    PAPER

      Vol:
    E82-A No:2
      Page(s):
    215-222

    We propose a real-time low-rate video compression algorithm using fixed-rate multi-stage hierarchical vector quantization. Vector quantization is suitable for mobile computing, since it demands small computation on decoding. The proposed algorithm enables transmission of 10 QCIF frames per second over a low-rate 29.2 kbps mobile channel. A frame is hierarchically divided by sub-blocks. A frame of images is compressed in a fixed rate at any video activity. For active frames, large sub-blocks for low resolution are mainly transmitted. For inactive frames, smaller sub-blocks for high resolution can be transmitted successively after a motion-compensated frame. We develop a compression system which consists of a host computer and a memory-based processor for the nearest neighbor search on VQ. Our algorithm guarantees real-time decoding on a poor CPU.

  • Analytical Stability Modeling for CMOS Latches in Low Voltage Operation

    Tatsuya KAMAKARI  Jun SHIOMI  Tohru ISHIHARA  Hidetoshi ONODERA  

     
    PAPER

      Vol:
    E99-A No:12
      Page(s):
    2463-2472

    In synchronous LSI circuits, memory subsystems such as Flip-Flops and SRAMs are essential components and latches are the base elements of the common memory logics. In this paper, a stability analysis method for latches operating in a low voltage region is proposed. The butterfly curve of latches is a key for analyzing a retention failure of latches. This paper discusses a modeling method for retention stability and derives an analytical stability model for latches. The minimum supply voltage where the latches can operate with a certain yield can be accurately derived by a simple calculation using the proposed model. Monte-Carlo simulation targeting 65nm and 28nm process technology models demonstrates the accuracy and the validity of the proposed method. Measurement results obtained by a test chip fabricated in a 65nm process technology also demonstrate the validity. Based on the model, this paper shows some strategies for variation tolerant design of latches.

  • A Radiation-Hard Redundant Flip-Flop to Suppress Multiple Cell Upset by Utilizing the Parasitic Bipolar Effect

    Kuiyuan ZHANG  Jun FURUTA  Ryosuke YAMAMOTO  Kazutoshi KOBAYASHI  Hidetoshi ONODERA  

     
    PAPER

      Vol:
    E96-C No:4
      Page(s):
    511-517

    According to the process scaling, radiation-hard devices are becoming sensitive to soft errors caused by Multiple Cell Upset (MCUs). In this paper, the parasitic bipolar effects are utilized to suppress MCUs of the radiation-hard dual-modular flip-flops. Device simulations reveal that a simultaneous flip of redundant latches is suppressed by storing opposite values instead of storing the same value due to its asymmetrical structure. The state of latches becomes a specific value after a particle hit due to the bipolar effects. Spallation neutron irradiation proves that MCUs are effectively suppressed in the D-FF arrays in which adjacent two latches in different FFs store opposite values. The redundant latch structure storing the opposite values is robust to the simultaneous flip.

  • Experiments with Power Optimization in Gate Sizing

    Guangqiu CHEN  Hidetoshi ONODERA  Keikichi TAMARU  

     
    LETTER

      Vol:
    E77-A No:11
      Page(s):
    1913-1916

    In this paper, the power dissipation issue is considered in the gate sizing procedure. In order to observe the tradeoff among area, delar and power dissipation in a circuit, gate sizing algorithms which can minimize power under delay constraints or minimize area under power and delay constraints are formulated. Experiments are performed to investigate the properties of area–power–delay tradeoff in the gate sizing procedure.

1-20hit(83hit)