The search functionality is under construction.
The search functionality is under construction.

Keyword Search Result

[Keyword] Ti(30728hit)

26741-26760hit(30728hit)

  • Performance Analysis of TCP Over ABR in High-Speed WAN Environment

    Mika ISHIZUKA  Arata KOIKE  Masatoshi KAWARASAKI  

     
    PAPER-Communication protocol

      Vol:
    E80-B No:10
      Page(s):
    1436-1443

    This paper evaluates the performance of TCP over ATM by simulation studies to clarify its applicability to high-speed WANs. We compared the performance of TCP over ABR with that of TCP over UBR, and TCP over UBR with Early Packet Discard (EPD). As for TCP over UBR, TCP has all responsibilities for end-to-end performance. In this case, cell loss at the ATM layer degrades TCP performance. Optimum tuning of TCP parameters may mitigate this degradation problem, but cannot solve it. Using EPD with UBR can fairly reduce useless transmission of corrupted packets and improve TCP performance, but still have the problem on fairness. As a result, TCP over ABR was proved to be the most effective as long as it suppressed cell loss. It was also proved that, if we want to extract best performance by TCP over ABR, we need to choose TCP parameters such as window size or timer granularity, so that ABR rate control does not interact with TCP window control and retransmission control.

  • New Write/Erase Operation Technology for Flash EEPROM Cells to lmprove the Read Disturb Characteristics

    Tetsuo ENDOH  Hirohisa IIZUKA  Riichirou SHIROTA  Fujio MASUOKA  

     
    PAPER-Integrated Electronics

      Vol:
    E80-C No:10
      Page(s):
    1317-1323

    This paper describes the new write/erase operation methods in order to improve the read disturb characteristics for Flash EEPROM cells which are written by channel hot electron injection and erased by F-N tunneling emission from the floating gate to the substrate. The new operation methods is either applying a reverse polarity pulse after each erase pulse or applying a series of shorter erase pulses instead of a long single erase pulse. It is confirmed that by using the above operation methods, the leakage current can be suppressed, and then the read disturb life time after 105 cycles write/erase operation is more than 10 times longer in comparison with the conventional method. This memory cell by using the proposed write/erase operation method has superior potential for application to 256 Mbit Flash memories as beyond.

  • Service Interaction Resolution by Service Node Installed out of the Network

    Nagao OGINO  

     
    PAPER-Communication Software

      Vol:
    E80-B No:10
      Page(s):
    1537-1546

    Service interaction resolution is an important study subject to realize a network supporting various advanced communication services. This paper proposes service interaction resolution by service node connected with the communication network via the user-network interface. By executing various advanced services on the service node, service interactions can be efficiently resolved without adding new functions to the existing network. In other words, the service node enables a unified execution control of all the services including those for the originating side and those for the terminating side. This prevents the signalling system and the signalling procedure from being expanded to resolve service interactions. Moreover, the interactions between the services initiated at the conversation active state can be resolved by the service node equipped with function of receiving plural types of in-band signals. This avoids functional expansion of the switching systems in the network. In this paper, feasibility of the proposed resolution scheme is proved by showing a structure of the service node and a detailed procedure to resolve interactions on that service node. In the proposed service node, the application part is divided into basic call processing part and service processing part, and the basic call processing part is represented by three kinds of basic call processing state models. The proposed method for resolving service interactions can control services execution with high flexibility by using feature interaction table.

  • Performance of a Hybrid Scheme for Optical CDMA

    Ennio GAMBI  Franco CHIARALUCE  

     
    LETTER-Optical Communication

      Vol:
    E80-B No:10
      Page(s):
    1581-1584

    A hybrid mo-demodulation approach, fully insensitive to the phase noise induced by the sources, is described for CDMA applications at optical frequencies. It is analytically demonstrated that, using bipolar codes in conjunction with polarisation modulation, the considered system can improve the performance of coherent schemes with not negligible laser linewidths, as well as the performance of more conventional noncoherent schemes based on intensity modulation and unipolar codes.

  • Convergence-Theoretics of Classical and Krylov Waveform Relaxation Methods for Differential=Algebraic Equations

    Yao-Lin JIANG  Wai-Shing LUK  Omar WING  

     
    PAPER

      Vol:
    E80-A No:10
      Page(s):
    1961-1972

    We present theoretical results on the convergence of iterative methods for the solution of linear differential-algebraic equations arising form circuit simulation. The iterative methods considered include the continuous-time and discretetime waveform relaxation methods and the Krylov subspace methods in function space. The waveform generalized minimal residual method for solving linear differential-algebraic equations in function space is developed, which is one of the waveform Krylov subspace methods. Some new criteria for convergence of these iterative methods are derived. Examples are given to verify the convergence conditions.

  • Analysis of Nonuniform Transmission Lines Using Chebyshev Expansion Method and Moment Techniques

    Yuichi TANJI  Yoshifumi NISHIO  Akio USHIDA  

     
    PAPER

      Vol:
    E80-A No:10
      Page(s):
    1955-1960

    Nonuniform transmission lines are crucial in integrated circuits and printed circuit boards, because these circuits have complex geometries and layout between the multi layers, and most of the transmission lines possess nonuniform characteristics. In this article, an efficient numerical method for analyzing nonuniform transmission lines has been presented by using the Chebyshev expansion method and moment techniques. Efficiency on computational cost is demonstrated by numerical example.

  • A Hierarchical Clustering Method for the Multiple Constant Multiplication Problem

    Akihiro MATSUURA  Mitsuteru YUKISHITA  Akira NAGOYA  

     
    PAPER

      Vol:
    E80-A No:10
      Page(s):
    1767-1773

    In this paper, we propose an efficient solution for the Multiple Constant Multiplication (MCM) problem. The method uses hierarchical clustering to exploit common subexpressions among constants and reduces the number of shifts, additions, and subtractions. The algorithm defines appropriate weights, which indicate operation priority, and selects common subexpressions, resulting in a minimum number of local operations. It can also be extended to various high-level synthesis tasks such as arbitrary linear transforms. Experimental results for several error-correcting codes, digital filters and Discrete Cosine Transforms (DCTs) have shown the effectiveness of our method.

  • An Efficient FPGA Technology Mapping Tightly Coupled with Logic Minimization

    Kang YI  Seong Yong OHM  Chu Shik JHON  

     
    PAPER

      Vol:
    E80-A No:10
      Page(s):
    1807-1812

    The FPGA logic synthesis consists of logic minimization step and technology mapping step. These two steps are usually performed separately to reduce the complexity of the problem. Conventional logic minimization methods try to minimize the number of literals of a given Boolean network, while FPGA technology mapping techniques attempt to minimize the number of basic blocks. However, minimizing the number of literals, which is target architecture-independent feature, does not always lead to minimization of basic block count, which is a FPGA architecture specific feature. Therefore, most of the existing technology mapping systems take into account reorganization of its input circuits to get better mapping results. Such a loosely coupled logic synthesis paradigm may cause difficulties in finding the optimal solution. In this paper, we propose a new logic synthesis approach where logic minimization and technology mapping steps are performed tightly coupled. Our system takes into account FPGA specific features in logic minimization step and thus our technology mapping step does not need to resynthesize the Boolean network. We formulate the technology mapping problem as a graph covering problem. Such formulation provides more global view to optimality and supports versatile cost functions. in addition, a fast and exact library management technique is devised for efficient FPGA cell matching which is one of the most frequently used operations in the FPGA logic synthesis.

  • A CAD-Based Approach to Fault Diagnosis of CMOS LSI with Single Fault Using Abnormal Iddq

    Masaru SANADA  

     
    PAPER

      Vol:
    E80-A No:10
      Page(s):
    1945-1954

    A CAD-based faulty portion diagnosis technique for CMOS-LSI with single fault using abnormal Iddq has been developed to indicate the presence of physical damage in a circuit. This method of progressively reducing the faulty portion, works by extracting the inner logic state of each block from logic simulation, and by deriving test vector numbers with abnomal Iddq. To easily perform fault diagnosis, the hierarchical circuit structure is divided into primitive blocks including simple logic gates. The diagnosis technique employs the comparative operation of each primitive block to determine whether one and the same inner logic state with abnormal Iddq exists in the inner logic state with normal Iddq or not. The former block is regarded as normal block and the latter block is regarded as faulty block. Faulty portion of the faulty block can be localized easily by using input logic state simulation. Experimental results on real faulty LSI with 100k gates demonstrated rapid diagnosis times of within ten hours and reliable extraction of the faulty portion.

  • Combining Architectural Simulation and Behavioral Synthesis

    Abderrazak JEMAI  Polen KISSION  Ahmed Amine JERRAYA  

     
    PAPER

      Vol:
    E80-A No:10
      Page(s):
    1756-1766

    The analysis of an architecture may provide statistic information on the use of the resources and on the execution time. Some of these information need just a static analysis. Others, such as the execution time, may need dynamic analysis. Moreover as the computation time of behavioral descriptions (control step time unit) and RTL ones (cycle based) may differ a lot, unexpected architectures may be generated by behavioral synthesis. Therefore means to debug the results of behavioral synthesis are required. This paper introduces a new approach to integrate an interactive simulator within a behavioral synthesis tool, thereby allowing concurrent synthesis and simulation. The simulator and the behavioral synthesis are based on the same model. This model allows to link the behavioral description and the architecture produced by synthesis. This paper also discusses an implementation of this concept resulting in a simulator, called AMIS. This tool assists the designer for understanding the results of behavioral synthesis and for architecture exploration. It may also be used to debug the behavioral specification.

  • Top-Down Co-simulation of Hardware/Software Co-designs for Embedded Systems Based Upon a Component Logical Bus Architecture

    Katsuhiko SEO  Hisao KOIZUMI  Barry SHACKLEFORD  Mitsuhiro YASUDA  Masashi MORI  Fumio SUZUKI  

     
    PAPER

      Vol:
    E80-A No:10
      Page(s):
    1834-1841

    We propose a top-down approach for cosimulation of hardware/software co-designs for embedded systems and introduce a component logical bus architecture as an interface between software components implemented by processors and hardware components implemented by custom logic circuits. Co-simulation using a component logical bus architecture is possible is the same environment from the stage at which the processor is not yet finalized to the stage at which the processor is modeled in register transfer language. Models based upon a component logical bus architecture can be circulated and reused. We further describe experimental results of our approach.

  • Active Attacks on Two Efficient Server-Aided RSA Secret Computation Protocols

    Gwoboa HORNG  

     
    LETTER-Information Security

      Vol:
    E80-A No:10
      Page(s):
    2038-2039

    Recently, two new efficient server-aided RSA secret computation protocols were proposed. They are efficient and can guard against some active attacks. In this letter, we propose two multi-round active attacks which can effectively reduce their security level even break them.

  • Obtaining Unique Input/Output Sequences of Communication Protocols

    Wen-Huei CHEN  

     
    PAPER-Communication protocol

      Vol:
    E80-B No:10
      Page(s):
    1509-1513

    A Unique Input/Output (UIO) sequence for the state J of a protocol is a sequence of input/output pairs that is unique to state J. Obtaining UIO sequences from the protocol specification is a very important problem in protocol conformance testing. Let n and m be the total number of states and transitions of the protocol, respectively, and dmax be the largest outdegree of any state, W. Chun and P. D. Amer proposed an O(n2(dmax)2n-1) algorithm to obtain the minimum-length UIO sequences (where the length refers to the number of input/output pairs). However, n and m are normally very large for real protocols. In this paper, we propose an O(n*m) algorithm for obtaining UIO sequences. In theory, our algorithm yields a UIO sequence which contains at most n1 input/output pairs. In experimentation, ten protocol examples collected from recent papers, the ISO TP0 protocol, the ISDN Q. 931 network-side protocol, and the CCITT X. 25 protocol show that in average the obtained UIO sequences are only 11.8% longer than the minimum-length ones, and 97.4% of the existent UIO sequences can be found. And our algorithm is extended for minimizing the cost of UIO sequences and for obtaining synchronizable UIO sequences, which have not been achieved by any algorithm proposed earlier.

  • A Variable Partitioning Algorithm of BDD for FPGA Technology Mapping

    Jie-Hong JIANG  Jing-Yang JOU  Juinn-Dar HUANG  Jung-Shian WEI  

     
    PAPER

      Vol:
    E80-A No:10
      Page(s):
    1813-1819

    Field Programmable Gate Arrays (FPGA's) are important devices for rapid system prototyping. Roth-Karp decomposition is one of the most popular decomposition techniques for Look-Up Table (LUT) -based FPGA technology mapping. In this paper, we propose a novel algorithm based on Binary Decision Diagrams (BDD's) for selecting good lambda set variables in Roth-Karp decomposition to minimize the number of consumed configurable logic blocks (CLB's) in FPGA's. The experimental results on a set of benchmarks show that our algorithm can produce much better results than the similar works of the previous approaches.

  • CB-Power: A Hierarchical Power Analysis and Characterization Environment of Cell-Based CMOS Circuits

    Wen-Zen SHEN  Jiing-Yuan LIN  Jyh-Ming LU  

     
    PAPER

      Vol:
    E80-A No:10
      Page(s):
    1908-1914

    In this paper, we present CB-Power, a hierarchical power analysis and characterization environment of cell-based CMOS circuits. The environment includes two parts, a cell characterization system for timing, input capacitance as well as power and a cell-based power estimation system. The characterization system can characterize basic, complex and transmission gates. During the characterization, input slew rate, output loading, capacitive feedthrough effect and the logic state dependence of nodes in a cell are all taken into account. The characterization methodology separates the power consumption of a cell into three components, e.g., capacitive feedthrough power, short-circuit power and dynamic power. With the characterization data, a cell-based power estimator (CBPE) embedded in Verilog-XL is used for estimating the power consumption of the gates in a circuit. CBPE is also a hierarchical power estimator. Macrocells such as flip-flops and adders are partitioned into primitive gates during power estimation. Experimental results on a set of MCNC benchmark circuits show that the power estimation based on our power modeling and characterization provides within 6% error of SPICE simulation on average while the CPU time consumed is more than two orders of magnitude less.

  • CMOS Precision Half-Wave Rectifying Transconductor

    Sibum JUN  Dae Mann KIM  

     
    PAPER-Analog Signal Processing

      Vol:
    E80-A No:10
      Page(s):
    2000-2005

    A novel CMOS half-wave rectifying transconductor is presented. The proposed circuit utilizes a simple new cascode current subtracter which is obtained from conventional cascode current mirror by a judicious reconfiguration to yield additional subtrahend signal path. The simulated DC transfer characteristics is highly linear with 1.1% linearity error up to 1.5V differential input voltage and the blunt corner at zero-crossing is 20mV. The output resistance is greater than 23MΩ and the total harmonic distortions at 100kHz with 1.5Vp-p in the positive half cycle are better than -46.5dB. The usable operating frequencies are up to 10MHz with maximum peak-to-peak input voltage and 75µW power consumption.

  • A Study of the Signal-to-Noise Ratio of a High-Speed Current-Mode CMOS Sample-and-Hold Circuit

    Yasuhiro SUGIMOTO  Masahiro SEKIYA  Tetsuya IIDA  

     
    PAPER

      Vol:
    E80-A No:10
      Page(s):
    1986-1993

    Our study investigated the realization of a high-precision MOS current-mode circuit. Simple studies have implied that it is difficult to achieve a high signal-to-noise ratio (S/N) in a current-mode circuit. Since the signal voltage at the internal node is suppressed, the circuit is sensitive to various noise sources. To investigate this, we designed and fabricated a current-mode sample-and-hold circuit with a 3V power supply and a 20MHz clock speed, using a standard CMOS 0.6µm device process. The measured S/N reached 57dB and 59dB in sample mode, and 51dB and 54dB in sample-and-hold mode, with 115µA from a 3V power supply and 220µA from a 5V power supply of input currents and a 10MHz noise bandwidth. The S/N analysis based on an actual circuit was done taking device noise sources and the fold-over phenomena of noise in a sampled system into account. The calculation showed 66.9dB of S/N in sample mode and 59.5dB in sample-and-hold-mode with 115µA of input current. Both the analysis and measurement indicated that 60dB of S/N in sample mode with a 10MHz noise bandwidth is an achievable value for this sample-and-hold circuit. It was clear that the current-mode approach limits the S/N performance because of the voltage suppression method. This point should be further studied and discussed.

  • Optimal Loop Bandwidth Design for Low Noise PLL Applications

    Kyoohyun LIM  Seung Hee CHOI  Beomsup KIM  

     
    PAPER

      Vol:
    E80-A No:10
      Page(s):
    1979-1985

    This paper presents a salient method to find an optimal bandwidth for low noise phase-locked loop (PLL) applications by analyzing a discrete-time model of charge-pump PLLs based on ring oscillator VCOs. The analysis shows that the timing jitter of the PLL system depends on the jitter in the ring oscillator and an accumulation factor which is inversely proportional to the bandwidth of the PLL. Further analysis shows that the timing jitter of the PLL system, however, proportionally depends on the bandwidth of the PLL when an external jitter source is applied. The analysis of the PLL timing jitter of both cases gives the clue to the optimal bandwidth design for low noise PLL applications, Simulation results using a C-language PLL model are compared with the theoretical predictions and show good agreement.

  • Non-isothermal Device Simulation Taking Account of Transistor Self-Heating and In-Chip Thermal Interdependence

    Hirobumi KAWASHIMA  Ryo DANG  

     
    PAPER

      Vol:
    E80-A No:10
      Page(s):
    1973-1978

    A non-isothermal device simulation, consisting of solving heat flow equation three-dimensionally together with other semiconductor equations two-dimensionally, is reported for various arrangements of a pluralty of transistors mounted on a single chip. These arrangements are intended to simulate the real situation in an IC chip whereas a three-dimensional solution of the heat flow equation is aimed at accurately determining the thermal interdependence among individual transistors. As a result, the drain current versus drain voltage characteristics of a miniaturized transistor is found to exhibit a heat-induced negative resistance region.

  • An XOR-Based Decomposition Diagram and Its Application in Synthesis of AND/XOR Networks

    Yibin YE  Kaushik ROY  

     
    PAPER

      Vol:
    E80-A No:10
      Page(s):
    1742-1748

    In this paper, we introduce a Shared Multiple Rooted XOR-based Decomposition Diagram (XORDD) to represent functions with multiple outputs. Based on the XORDD representation, we develop a synthesis algorithm for general Exclusive Sum-of-Product forms (ESOP). By iteratively applying transformations and reductions, we obtain a compact XORDD which gives a minimized ESOP. Our method can synthesize larger circuits than previously possible. The compact ESOP representation provides a form that is easier to synthesize for XOR heavy multi-level circuits, such as arithmetic functions. We have applied our synthesis techniques to a large set of benchmark circuits in both PLA and combinational formats. Results of the minimized ESOP forms obtained from our synthesis algorithm are also compared to the SOP forms generated by ESPRESSO. Among the 74 circuits we have experimented with, the minimized ESOP's have fewer product terms than those of SOP's in 39 circuits.

26741-26760hit(30728hit)