The search functionality is under construction.

Keyword Search Result

[Keyword] delay variation(27hit)

1-20hit(27hit)

  • Discrimination of a Resistive Open Using Anomaly Detection of Delay Variation Induced by Transitions on Adjacent Lines

    Hiroyuki YOTSUYANAGI  Kotaro ISE  Masaki HASHIZUME  Yoshinobu HIGAMI  Hiroshi TAKAHASHI  

     
    PAPER

      Vol:
    E100-A No:12
      Page(s):
    2842-2850

    Small delay caused by a resistive open is difficult to test since circuit delay varies depending on various factors such as process variations and crosstalk even in fault-free circuits. We consider the problem of discriminating a resistive open by anomaly detection using delay distributions obtained by the effect of various input signals provided to adjacent lines. We examined the circuit delay in a fault-free circuit and a faulty circuit by applying electromagnetic simulator and circuit simulator for a line structure with adjacent lines under consideration of process variations. The effectiveness of the method that discriminates a resistive open is shown for the results obtained by the simulation.

  • A Floorplan Aware High-Level Synthesis Algorithm with Body Biasing for Delay Variation Compensation

    Koki IGAWA  Masao YANAGISAWA  Nozomu TOGAWA  

     
    PAPER

      Vol:
    E100-A No:7
      Page(s):
    1439-1451

    In this paper, we propose a floorplan aware high-level synthesis algorithm with body biasing for delay variation compensation, which minimizes the average leakage energy of manufactured chips. In order to realize floorplan-aware high-level synthesis, we utilize huddle-based distributed register architecture (HDR architecture). HDR architecture divides the chip area into small partitions called a huddle and we can control a body bias voltage for every huddle. During high-level synthesis, we iteratively obtain expected leakage energy for every huddle when applying a body bias voltage. A huddle with smaller expected leakage energy contributes to reducing expected leakage energy of the entire circuit more but can increase the latency. We assign control-data flow graph (CDFG) nodes in non-critical paths to the huddles with larger expected leakage energy and those in critical paths to the huddles with smaller expected leakage energy. We expect to minimize the entire leakage energy in a manufactured chip without increasing its latency. Experimental results show that our algorithm reduces the average leakage energy by up to 39.7% without latency and yield degradation compared with typical-case design with body biasing.

  • An Effective Suspicious Timing-Error Prediction Circuit Insertion Algorithm Minimizing Area Overhead

    Shinnosuke YOSHIDA  Youhua SHI  Masao YANAGISAWA  Nozomu TOGAWA  

     
    PAPER

      Vol:
    E98-A No:7
      Page(s):
    1406-1418

    As process technologies advance, timing-error correction techniques have become important as well. A suspicious timing-error prediction (STEP) technique has been proposed recently, which predicts timing errors by monitoring the middle points, or check points of several speed-paths in a circuit. However, if we insert STEP circuits (STEPCs) in the middle points of all the paths from primary inputs to primary outputs, we need many STEPCs and thus require too much area overhead. How to determine these check points is very important. In this paper, we propose an effective STEPC insertion algorithm minimizing area overhead. Our proposed algorithm moves the STEPC insertion positions to minimize inserted STEPC counts. We apply a max-flow and min-cut approach to determine the optimal positions of inserted STEPCs and reduce the required number of STEPCs to 1/10-1/80 and their area to 1/5-1/8 compared with a naive algorithm. Furthermore, our algorithm realizes 1.12X-1.5X overclocking compared with just inserting STEPCs into several speed-paths.

  • A Tuning Method of Programmable Delay Element with an Ordered Finite Set of Delays for Yield Improvement

    Hayato MASHIKO  Yukihide KOHIRA  

     
    PAPER-Logic Synthesis, Test and Verification

      Vol:
    E97-A No:12
      Page(s):
    2443-2450

    Due to the progress of the process technology in LSI, the yield of LSI chips is reduced by timing violations caused by delay variations. To recover the timing violations, delay tuning methods insert programmable delay elements called PDEs into the clock tree before fabrication and tune their delays after fabrication. The yield improvement of existing methods is not enough. In this paper, a delay tuning method of PDEs with an ordered finite set of delays is proposed for the yield improvement. The proposed delay tuning method is based on the modified Bellman-Ford algorithm. Therefore, its optimality is guaranteed and its time complexity is polynomial. In the experiments under Monte-Carlo simulation, the yield of the proposed method is improved higher when the number of delays in each PDE is increased.

  • A Wide Range CMOS Power Amplifier with Improved Group Delay Variation and Gain Flatness for UWB Transmitters

    Rohana SAPAWI  Ramesh K. POKHAREL  Haruichi KANAYA  Keiji YOSHIDA  

     
    PAPER

      Vol:
    E95-C No:7
      Page(s):
    1182-1188

    This paper presents the design and implementation of 0.9–4.8 GHz CMOS power amplifier (PA) with improved group delay variation and gain flatness at the same time for UWB transmitters. This PA design employs a two-stage cascade common source topology, a resistive shunt feedback technique and inductive peaking to achieve high gain flatness, and good input matching. Based on theoretical analysis, the main design factor for group delay variation is identified. The measurement results indicate that the proposed PA design has an average gain of 10.2 ± 0.8 dB while maintaining a 3-dB bandwidth of 0.57 to 5.8 GHz, an input return loss |S11| less than -4.4 dB, and an output return loss |S22| less than -9.2 dB over the frequency range of interest. The input 1 dB compression point at 2 GHz was -9 dBm while consumes 30 mW power from 1.5 V supply voltage. Moreover, excellent phase linearity (i.e., group delay variation) of ±125 ps was achieved across the whole band.

  • Power Supply Voltage Dependence of Within-Die Delay Variation of Regular Manual Layout and Irregular Place-and-Route Layout

    Tadashi YASUFUKU  Yasumi NAKAMURA  Zhe PIAO  Makoto TAKAMIYA  Takayasu SAKURAI  

     
    BRIEF PAPER

      Vol:
    E94-C No:6
      Page(s):
    1072-1075

    Dependence of within-die delay variations on power supply voltage (VDD) is measured down to 0.4 V. The VDD dependence of the within-die delay variation of manual layout and irregular auto place and route (P&R) layout are compared for the first time. The measured relative delay (=sigma/average) variation difference between the manual layout and the P&R layout decreases from 1.56% to 0.07% with reducing VDD from 1.2 V to 0.4 V, because the random delay variations due to the random transistor variations dominate total delay variations instead of the delay variations due to interconnect length variations at low VDD.

  • Backward-Data-Direction Clocking and Relevant Optimal Register Assignment in Datapath Synthesis

    Keisuke INOUE  Mineo KANEKO  Tsuyoshi IWAGAKI  

     
    PAPER-VLSI Design Technology and CAD

      Vol:
    E94-A No:4
      Page(s):
    1067-1081

    For recent and future nanometer-technology VLSIs, static and dynamic delay variations become a serious problem. In many cases, the hold timing constraint, as well as the setup timing constraint, becomes critical for latching a correct signal under delay variations. While the timing violation due to the fail of the setup timing constraint can be fixed by tuning a clock frequency or using a delayed latch, the timing violation due to the fail of the hold timing constraint cannot be fixed by those methods in general. Our approach to delay variations (in particular, the hold timing constraint) proposed in this paper is a novel register assignment strategy in high-level synthesis, which guarantees safe clocking by Backward-Data-Direction (BDD) clocking. One of the drawbacks of the proposed register assignment is the increase in the number of required registers. After the formulation of this new register minimization problem, we prove NP-hardness of the problem, and then derive an integer linear programming formulation for the problem. The proposed method receives a scheduled data flow graph, and generates a datapath having (1) robustness against delay variations, which is ensured by BDD-based register assignment, and (2) the minimum possible number of registers. Experimental results show the effectiveness of the proposed method for some benchmark circuits.

  • Impact of Self-Heating in Wire Interconnection on Timing

    Toshiki KANAMOTO  Takaaki OKUMURA  Katsuhiro FURUKAWA  Hiroshi TAKAFUJI  Atsushi KUROKAWA  Koutaro HACHIYA  Tsuyoshi SAKATA  Masakazu TANAKA  Hidenari NAKASHIMA  Hiroo MASUDA  Takashi SATO  Masanori HASHIMOTO  

     
    BRIEF PAPER

      Vol:
    E93-C No:3
      Page(s):
    388-392

    This paper evaluates impact of self-heating in wire interconnection on signal propagation delay in an upcoming 32 nm process technology, using practical physical parameters. This paper examines a 64-bit data transmission model as one of the most heating cases. Experimental results show that the maximum wire temperature increase due to the self-heating appears in the case where the ratio of interconnect delay becomes largest compared to the driver delay. However, even in the most significant case which induces the maximum temperature rise of 11.0, the corresponding increase in the wire resistance is 1.99% and the resulting delay increase is only 1.15%, as for the assumed 32 nm process. A part of the impact reduction of wire self-heating on timing comes from the size-effect of nano-scale wires.

  • Scalable Parallel Interface for Terabit LAN

    Shoukei KOBAYASHI  Yoshiaki YAMADA  Kenji HISADOME  Osamu KAMATANI  Osamu ISHIDA  

     
    PAPER

      Vol:
    E92-B No:10
      Page(s):
    3015-3021

    We propose a scalable parallel interface that provides an ideal aggregated bandwidth link for an application. The scalable parallel interface uses time information to align packets and allows dynamic lane and/or path change, a large difference in transmission delays among lanes, and so on. The basic performance of the scalable parallel interface in 10 Gb/s 2 lanes is verified using an estimation board that is newly developed to evaluate the basic functions used in a Terabit LAN. The evaluation shows that the scalable parallel interface achieves a very low delay variation that is almost the same as that under back-to-back conditions. The difference in the delay variation between the scalable parallel interface and the back-to-back condition is approximately 10 ns when the transmission delay time varies from 10 µs to 1 s.

  • On Window Control Algorithm over Wireless Cellular Networks with Large Delay Variation

    Ho-Jin LEE  Hee-Jung BYUN  Jong-Tae LIM  

     
    LETTER-Network

      Vol:
    E92-B No:6
      Page(s):
    2279-2282

    In addition to high bit error rates, large and sudden variations in delay often occur in wireless cellular networks. The delay can be several times the typical round-trip time, which can cause the spurious timeout. In this letter, we propose a new window control algorithm to improve TCP performance in wireless cellular networks with large delay variation and high bit error rates. Simulation results illustrate that our proposal improves the performance of TCP in terms of fairness and link utilization.

  • Optimal Register Assignment with Minimum-Path Delay Compensation for Variation-Aware Datapaths

    Keisuke INOUE  Mineo KANEKO  Tsuyoshi IWAGAKI  

     
    PAPER

      Vol:
    E92-A No:4
      Page(s):
    1096-1105

    For recent and future nanometer-technology VLSIs, static and dynamic delay variations become a serious problem. In many cases, the hold constraint, as well as the setup constraint, becomes critical for latching a correct signal under delay variations. This paper treats the hold constraint in a datapath circuit, and discusses a register assignment in high level synthesis considering delay variations. Our approach to ensure the hold constraint under delay variations is to enlarge the minimum-path delay between registers, which is called minimum-path delay compensation (MDC) in this paper. MDC can be done by inserting delay elements mainly in non-critical paths of a functional unit (FU). One of our contributions is to show that the minimization of the number of minimum-path delay compensated FUs is NP-hard in general, and it is in the class P if the number of FUs is a constant. A polynomial time algorithm for the latter is also shown in this paper. In addition, an integer linear programming (ILP) formulation is also presented. The proposed method generates a datapath having (1) robustness against delay variations, which is ensured partly by MDC technique and partly by SRV-based register assignment, and (2) the minimum possible numbers of MDCs and registers.

  • Way-Scaling to Reduce Power of Cache with Delay Variation

    Maziar GOUDARZI  Tadayuki MATSUMURA  Tohru ISHIHARA  

     
    PAPER-High-Level Synthesis and System-Level Design

      Vol:
    E91-A No:12
      Page(s):
    3576-3584

    The share of leakage in cache power consumption increases with technology scaling. Choosing a higher threshold voltage (Vth) and/or gate-oxide thickness (Tox) for cache transistors improves leakage, but impacts cell delay. We show that due to uncorrelated random within-die delay variation, only some (not all) of cells actually violate the cache delay after the above change. We propose to add a spare cache way to replace delay-violating cache-lines separately in each cache-set. By SPICE and gate-level simulations in a commercial 90 nm process, we show that choosing higher Vth, Tox and adding one spare way to a 4-way 16 KB cache reduces leakage power by 42%, which depending on the share of leakage in total cache power, gives up to 22.59% and 41.37% reduction of total energy respectively in L1 instruction- and L2 unified-cache with a negligible delay penalty, but without sacrificing cache capacity or timing-yield.

  • Novel Register Sharing in Datapath for Structural Robustness against Delay Variation

    Keisuke INOUE  Mineo KANEKO  Tsuyoshi IWAGAKI  

     
    PAPER

      Vol:
    E91-A No:4
      Page(s):
    1044-1053

    As the feature size of VLSI becomes smaller, delay variations become a serious problem in VLSI. In this paper, we propose a novel class of robustness for a datapath against delay variations, which is named structural robustness against delay variation (SRV), and propose sufficient conditions for a datapath to have SRV. A resultant circuit designed under these conditions has a larger timing margin to delay variations than previous designs without sacrificing effective computation time. In addition, under any degree of delay variations, we can always find an available clock frequency for a datapath having SRV property to operate correctly, which could be a preferable characteristic in IP-based design.

  • Performance Monitoring of VoIP Flows for Large Network Operations

    Yoshinori KITATSUJI  Satoshi KATSUNO  Katsuyuki YAMAZAKI  Masato TSURU  Yuji OIE  

     
    PAPER

      Vol:
    E90-B No:10
      Page(s):
    2746-2754

    The monitoring of performance in VoIP traffic has become vital because users generally expect VoIP service quality that is as high as that of PSTN services. A lightweight method of processing by extracting VoIP flows from Internet traffics is proposed in this paper. Estimating delay variations and the packet loss ratio using knowledge about specific features and the characteristics of VoIP flows, i.e., the inter-packet gap (IPG) which is constant in VoIP flows, is also proposed. Simulation with actual traffic trace is used to evaluate the method, and this revealed that delay variations (IPG variance) can be accurately estimated by monitoring only a few percentage of all flows. The proposed method can be used as a first-alert tool to monitor large amounts of flows to detect signs of degradation in VoIP flows. The method can be used by ISPs to estimate whether VoIP flow performance is adequate within their networks and at ingress from other ISPs.

  • Design Method of High Performance and Low Power Functional Units Considering Delay Variations

    Kouichi WATANABE  Masashi IMAI  Masaaki KONDO  Hiroshi NAKAMURA  Takashi NANYA  

     
    PAPER-Circuit Synthesis

      Vol:
    E89-A No:12
      Page(s):
    3519-3528

    As VLSI technology advances, delay variations will become more serious. Delay-insensitive asynchronous dual-rail circuits tolerate any delay variation, but their energy consumption is more than double that of the single-rail circuits because signal transitions occur every cycle in all bits regardless of the input bit pattern. However, in functional units, a significant number of input bits may not change from the previous input in many cases. In such a situation, calculation of these bits is not required. Thus, we propose a method, called unflip-bits control, makes use of the above situation, to reduce energy consumption. We evaluate the energy consumption and performance penalty for the method using HSPICE and the verilog-XL simulator, and compare the method with the conventional dual-rail circuit and a synchronous circuit. Our evaluation results reveal that the proposed asynchronous dual-rail circuit has a 12-60% lower energy consumption compared with a conventional asynchronous dual-rail circuit.

  • Impact of Intrinsic Parasitic Extraction Errors on Timing and Noise Estimation

    Toshiki KANAMOTO  Shigekiyo AKUTSU  Tamiyo NAKABAYASHI  Takahiro ICHINOMIYA  Koutaro HACHIYA  Atsushi KUROKAWA  Hiroshi ISHIKAWA  Sakae MUROMOTO  Hiroyuki KOBAYASHI  Masanori HASHIMOTO  

     
    LETTER-Interconnect

      Vol:
    E89-A No:12
      Page(s):
    3666-3670

    In this letter, we discuss the impact of intrinsic error in parasitic capacitance extraction programs which are commonly used in today's SoC design flows. Most of the extraction programs use pattern-matching methods which introduces an improvable error factor due to the pattern interpolation, and an intrinsically inescapable error factor from the difference of boundary conditions in the electro-magnetic field solver. Here, we study impact of the intrinsic error on timing and crosstalk noise estimation. We experimentally show that the resulting delay and noise estimation errors show a scatter which is normally distributed. Values of the standard deviations will help designers consider the intrinsic error compared with other variation factors.

  • Measurement-Based Analysis of Delay Variation Induced by Dynamic Power Supply Noise

    Mitsuya FUKAZAWA  Makoto NAGATA  

     
    PAPER

      Vol:
    E89-C No:11
      Page(s):
    1559-1566

    Accurate on-chip 100-ps/100-µV waveform measurements of signal transition in a large-scale digital integrated circuit clearly demonstrates the correlation of dynamic delay variation with power supply noise waveforms. In addition to the linear dependence of delay increase with the height of static IR drop, the distortion of a signal waveform during a logic transition that is induced by dynamic power supply noise causes significant delay variation. However, an analysis reveals that average modeling of dynamic power supply noise, which is often used in conventional simulation techniques, cannot match the experimentally measured values. Our proposed circuit simulation technique, which incorporates time-domain power supply noise waveform macro models along with parasitic impedance networks, reproduces the delay variation well, even with a relative timing difference among different clock domains. Such basic knowledge can be applied in precise delay calculations that consider dynamic power supply noise, a crucial factor in deep sub-100-nm LSI design.

  • On Efficient Core Selection for Reducing Multicast Delay Variation under Delay Constraints

    Moonseong KIM  Young-Cheol BANG  Hyung-Jin LIM  Hyunseung CHOO  

     
    PAPER

      Vol:
    E89-B No:9
      Page(s):
    2385-2393

    With the proliferation of multimedia group applications, the construction of multicast trees satisfying the Quality of Service (QoS) requirements is becoming a problem of the prime importance. An essential factor of these real-time application is to optimize the Delay- and delay Variation-Bounded Multicast Tree (DVBMT) problem. This problem is to satisfy the minimum delay variation and the end-to-end delay within an upper bound. The DVBMT problem is known as NP-complete problem. The representative algorithms for the problem are DVMA, DDVCA, and so on. In this paper, we show that the proposed algorithm outperforms any other algorithm. The efficiency of our algorithm is verified through the performance evaluation and the enhancement is up to about 13.5% in terms of the multicast delay variation. The time complexity of our algorithm is O(mn2) which is comparable to well known DDVCA.

  • An On-Chip Multi-Channel Rail-to-Rail Signal Monitoring Technique for Sub-100-nm Digital Signal Integrity

    Koichiro NOGUCHI  Makoto NAGATA  

     
    PAPER

      Vol:
    E89-C No:6
      Page(s):
    761-768

    A compact on-chip signal monitor circuit uses voltage mode sensing by a source follower circuit with small input device geometry, followed by a current-mode sample and a hold circuit that is connected to a shared current output bus. A prototype signal monitor circuit demonstrated a 1.1-GHz effective bandwidth for 1.0-V full-swing digital signals in a 90-nm CMOS technology, where the monitor used 2.5-V I/O CMOS transistors and occupied a 30 µm120 µm silicon area. We also showed that such signal monitor circuits can be tailored to sense of power-supply, ground, as well as full-swing logic signal wirings, and form an array with a single current output. Therefore, an on-chip multi-channel signal monitor enables multiple-points as well as multiple-voltage domain waveform acquisition for the purpose of the in-depth study of digital signal integrity.

  • Hardware-Based Precise Time Synchronization on Gb/s Ethernet Enhanced with Preemptive Priority

    Yoshiaki YAMADA  Satoru OHTA  Hitoshi UEMATSU  

     
    PAPER

      Vol:
    E89-B No:3
      Page(s):
    683-689

    Time synchronization is indispensable for wide area distributed systems including sensor networks, automation systems, and measurement/control systems. Another application is clock distribution, which is indispensable to support continuous information transfer. Because of the increasing demand for more sophisticated applications, it is essential to establish a time synchronization technique that offers higher accuracy and reliability. Particularly, the accuracy of time synchronization for Ethernet must be enhanced since Ethernet is becoming more important in telecommunication networks. This paper investigates a precise time synchronization technique that supports Gb/s Ethernet. To obtain accurate time synchronization, delay variation in message transfer and processing must be minimized. For this purpose, the paper first describes the implementation of preemptive priority queuing, which decreases the message delay variation of Ethernet. Through experiments, it is shown that preemptive priority queuing effectively achieves very low delay variation. The paper then proposes a method to synchronize the time signal of a slave node to that of the master node. The proposed time synchronization method is performed in the lower protocol layer and implemented on FPGA-based hardware. The method achieves superior time accuracy through the low message transfer/processing delay variation provided by preemptive priority, lower layer execution, and hardware implementation. The effectiveness of the method is confirmed through experiments. The experiments show that the time variation achieved by the method is smaller than 0.1 µsec. This performance is better than those obtained by existing synchronization methods.

1-20hit(27hit)