The search functionality is under construction.
The search functionality is under construction.

Keyword Search Result

[Keyword] arc(1309hit)

321-340hit(1309hit)

  • A Multiple-Valued Reconfigurable VLSI Architecture Using Binary-Controlled Differential-Pair Circuits

    Xu BAI  Michitaka KAMEYAMA  

     
    PAPER-Integrated Electronics

      Vol:
    E96-C No:8
      Page(s):
    1083-1093

    This paper presents a fine-grain bit-serial reconfigurable VLSI architecture using multiple-valued switch blocks and binary logic modules. Multiple-valued signaling is utilized to implement a compact switch block. A binary-controlled current-steering technique is introduced, utilizing a programmable three-level differential-pair circuit to implement a high-performance low-power arbitrary two-variable binary function, and increase the noise margins in comparison with the quaternary-controlled differential-pair circuit. A current-source sharing technique between a series-gating differential-pair circuit and a current-mode D-latch is proposed to reduce the current source count and improve the speed. It is demonstrated that the power consumption and the delay of the proposed multiple-valued cell based on the binary-controlled current-steering technique and the current-source-sharing technique are reduced to 63% and 72%, respectively, in comparison with those of a previous multiple-valued cell.

  • A 250 Msps, 0.5 W eDRAM-Based Search Engine Dedicated Low Power FIB Application

    Hisashi IWAMOTO  Yuji YANO  Yasuto KURODA  Koji YAMAMOTO  Kazunari INOUE  Ikuo OKA  

     
    PAPER-Integrated Electronics

      Vol:
    E96-C No:8
      Page(s):
    1076-1082

    Ternary content addressable memory (TCAM) is popular LSI for use in high-throughput forwarding engines on routers. However, the unique structure applied in TCAM consume huge amounts of power, therefore it restricts the ability to handle large lookup table capacity in IP routers. In this paper, we propose a commodity-memory based hardware architecture for the forwarding information base (FIB) application that solves the substantial problems of power and density. The proposed architecture is examined by a fabricated test chip with 40 nm embedded DRAM (eDRAM) technology, and the effect of power reduction verified is greatly lower than conventional TCAM based and the energy metric achieve 0.01 fJ/bit/search. The power consumption is almost 0.5 W at 250 Msps and 8M entries.

  • Wide-Area Sound-Control System for Reducing Reverberation Using Power Envelope Inverse Filtering

    Ryohei NAKADA  Yutaka HASEGAWA  Shigeki HIROBAYASHI  Toshio YOSHIZAWA  Tadanobu MISAWA  Junya SUZUKI  

     
    PAPER-Engineering Acoustics

      Vol:
    E96-A No:7
      Page(s):
    1509-1517

    We propose a sound field control system to control the sound over a wide area within a room by reducing the influence of the reproduction space using power envelope inverse filtering (PEIF). Envelopes of the impulse response within the room have approximately the same shape at all observation points. Therefore, the proposed sound field control system can control with a small number of loudspeakers a wider area by reducing reverberation in the room through envelope processing. We present experimental data demonstrating that the proposed PEIF system can provide better control than a system that uses minimum phase inverse filtering (MPIF), which is conventionally used for reducing reverberation. Improvement was observed across the frequency band, especially above 1 kHz. Additionally, our PEIF system is more effective over the high-frequency range.

  • Area-Efficient QC-LDPC Decoder Architecture Based on Stride Scheduling and Memory Bank Division

    Bongjin KIM  In-Cheol PARK  

     
    PAPER-Fundamental Theories for Communications

      Vol:
    E96-B No:7
      Page(s):
    1772-1779

    In this paper, an area-efficient decoder architecture is proposed for the quasi-cyclic low-density parity check (QC-LDPC) codes specified in the IEEE 802.16e WiMAX standard. The decoder supports all the code rates and codeword lengths defined in the standard. In order to achieve low area and maximize hardware utilization, the decoder utilizes 4 decoding function units, which is the greatest common divisor of the expansion factors. In addition, the decoder adopts a novel scheduling scheme named stride scheduling, which stores the extrinsic messages in non-sequential order to replace the conventional complex flexible permutation network with simple small-sized cyclic shifters and also minimize the number of memory accesses. To further minimize the complexity, the number of extrinsic memory instances for 24 block columns is reduced to 5 banks by identifying independent sets. All the memory instances used in the decoder are single-port memories which cost less area and price compared to dual-port ones. Finally, the decoding function units have partially parallel structure to make the decoding throughput sufficiently over the requirement of the WiMAX standard. The proposed decoder is synthesized with 49 K equivalent gates and 54,144 bits of memory, and the implementation occupies 0.40 mm2 in a 65 nm CMOS technology.

  • SIR: A Secure Identifier-Based Inter-Domain Routing for Identifier/Locator Split Network

    Yaping LIU  Zhihong LIU  Baosheng WANG  Qianming YANG  

     
    PAPER

      Vol:
    E96-B No:7
      Page(s):
    1742-1752

    We present the design of a secure identifier-based inter-domain routing, SIR, for the identifier/locator split network. On the one hand, SIR is a distributed path-vector protocol inheriting the flexibility of BGP. On the other hand, SIR separates ASes into several groups called trust groups, which assure the trust relationships among ASes by enforceable control and provides strict isolation properties to localize attacks and failures. Security analysis shows that SIR can provide control plane security that can avoid routing attacks including some smart attacks which S-BGP/soBGP can be deceived. Meanwhile, emulation experiments based on the current Internet topology with 47,000 ASes from the CAIDA database are presented, in which we compare the number of influenced ASes under attacks of subverting routing policy between SIR and S-BGP/BGP. The results show that, the number of influenced ASes decreases substantially by deploying SIR.

  • Design and Implementation of Long High-Rate QC-LDPC Codes and Its Applications to Optical Transmission Systems

    Norifumi KAMIYA  Yoichi HASHIMOTO  Masahiro SHIGIHARA  

     
    PAPER-Fundamental Theories for Communications

      Vol:
    E96-B No:6
      Page(s):
    1402-1411

    In this paper, we present a novel class of long quasi-cyclic low-density parity-check (QC-LDPC) codes. Each of the codes in this class has a structure formed by concatenating single-parity-check codes and QC-LDPC codes of shorter lengths, which allows for efficient, high throughput encoder/decoder implementations. Using a code in this class, we design a forward error correction (FEC) scheme for optical transmission systems and present its high throughput encoder/decoder architecture. In order to demonstrate its feasibility, we implement the architecture on a field programmable gate array (FPGA) platform. We show by both FPGA-based simulations and measurements of an optical transmission system that the FEC scheme can achieve excellent error performance and that there is no significant performance degradation due to the constraint on its structure while getting an efficient, high throughput implementation is feasible.

  • Admissible Stopping in Viterbi Beam Search for Unit Selection Speech Synthesis

    Shinsuke SAKAI  Tatsuya KAWAHARA  

     
    PAPER-Speech and Hearing

      Vol:
    E96-D No:6
      Page(s):
    1359-1367

    Corpus-based concatenative speech synthesis has been widely investigated and deployed in recent years since it provides a highly natural synthesized speech quality. The amount of computation required in the run time, however, can often be quite large. In this paper, we propose early stopping schemes for Viterbi beam search in the unit selection, with which we can stop early in the local Viterbi minimization for each unit as well as in the exploration of candidate units for a given target. It takes advantage of the fact that the space of the acoustic parameters of the database units is fixed and certain lower bounds of the concatenation costs can be precomputed. The proposed method for early stopping is admissible in that it does not change the result of the Viterbi beam search. Experiments using probability-based concatenation costs as well as distance-based costs show that the proposed methods of admissible stopping effectively reduce the amount of computation required in the Viterbi beam search while keeping its result unchanged. Furthermore, the reduction effect of computation turned out to be much larger if the available lower bound for concatenation costs is tighter.

  • A Time-Domain Architecture and Design Method of High Speed A-to-D Converters with Standard Cells

    Masao TAKAYAMA  Shiro DOSHO  Noriaki TAKEDA  Masaya MIYAHARA  Akira MATSUZAWA  

     
    PAPER

      Vol:
    E96-C No:6
      Page(s):
    813-819

    In this paper, we describe a new method to deal with analog signal in time domain. The method converts voltage signal to time-interleaved phase modulation signal of clock edge. After being amplified by a new time amplifier (TA), phases of the signal are converted to digital codes by successive approximation time-to-digital converter (SA-TDC). The test chip includes 8 interleaved 4 bit SA-TDCs with short latency. The chip operates up to 4.4 GHz. The measured ENOB is 3.51 bit and FOM is 0.49 pJ/conv.

  • A Low Power Tone Recognition for Automatic Tonal Speech Recognizer

    Jirabhorn CHAIWONGSAI  Werapon CHIRACHARIT  Kosin CHAMNONGTHAI  Yoshikazu MIYANAGA  Kohji HIGUCHI  

     
    PAPER

      Vol:
    E96-A No:6
      Page(s):
    1403-1411

    This paper proposes a low power tone recognition suitable for automatic tonal speech recognizer (ATSR). The tone recognition estimates fundamental frequency (F0) only from vowels by using a new magnitude difference function (MDF), called vowel-MDF. Accordingly, the number of operations is considerably reduced. In order to apply the tone recognition in portable electronic equipment, the tone recognition is designed using parallel and pipeline architecture. Due to the pipeline and parallel computations, the architecture achieves high throughput and consumes low power. In addition, the architecture is able to reduce the number of input frames depending on vowels, making it more adaptable depending on the maximum number of frames. The proposed architecture is evaluated with words selected from voice activation for GPS systems, phone dialing options, and words having the same phoneme but different tones. In comparison with the autocorrelation method, the experimental results show 35.7% reduction in power consumption and 27.1% improvement of tone recognition accuracy (110 words comprising 187 syllables). In comparison with ATSR without the tone recognition, the speech recognition accuracy indicates 25.0% improvement of ATSR with tone recogntion (2,250 training data and 45 testing words).

  • Efficient Top-k Document Retrieval for Long Queries Using Term-Document Binary Matrix – Pursuit of Enhanced Informational Search on the Web –

    Etsuro FUJITA  Keizo OYAMA  

     
    PAPER-Advanced Search

      Vol:
    E96-D No:5
      Page(s):
    1016-1028

    With the successful adoption of link analysis techniques such as PageRank and web spam filtering, current web search engines well support “navigational search”. However, due to the use of a simple conjunctive Boolean filter in addition to the inappropriateness of user queries, such an engine does not necessarily well support “informational search”. Informational search would be better handled by a web search engine using an informational retrieval model combined with enhancement techniques such as query expansion and relevance feedback. Moreover, the realization of such an engine requires a method to prosess the model efficiently. In this paper we propose a novel extension of an existing top-k query processing technique to improve search efficiency. We add to it the technique utilizing a simple data structure called a “term-document binary matrix,” resulting in more efficient evaluation of top-k queries even when the queries have been expanded. We show on the basis of experimental evaluation using the TREC GOV2 data set and expanded versions of the evaluation queries attached to this data set that the proposed method can speed up evaluation considerably compared with existing techniques especially when the number of query terms gets larger.

  • Dictionary Learning with Incoherence and Sparsity Constraints for Sparse Representation of Nonnegative Signals

    Zunyi TANG  Shuxue DING  

     
    PAPER-Biocybernetics, Neurocomputing

      Vol:
    E96-D No:5
      Page(s):
    1192-1203

    This paper presents a method for learning an overcomplete, nonnegative dictionary and for obtaining the corresponding coefficients so that a group of nonnegative signals can be sparsely represented by them. This is accomplished by posing the learning as a problem of nonnegative matrix factorization (NMF) with maximization of the incoherence of the dictionary and of the sparsity of coefficients. By incorporating a dictionary-incoherence penalty and a sparsity penalty in the NMF formulation and then adopting a hierarchically alternating optimization strategy, we show that the problem can be cast as two sequential optimal problems of quadratic functions. Each optimal problem can be solved explicitly so that the whole problem can be efficiently solved, which leads to the proposed algorithm, i.e., sparse hierarchical alternating least squares (SHALS). The SHALS algorithm is structured by iteratively solving the two optimal problems, corresponding to the learning process of the dictionary and to the estimating process of the coefficients for reconstructing the signals. Numerical experiments demonstrate that the new algorithm performs better than the nonnegative K-SVD (NN-KSVD) algorithm and several other famous algorithms, and its computational cost is remarkably lower than the compared algorithms.

  • Distributed Power Control Network and Green Building Test-Bed for Demand Response in Smart Grid

    Kei SAKAGUCHI  Van Ky NGUYEN  Yu TAO  Gia Khanh TRAN  Kiyomichi ARAKI  

     
    PAPER

      Vol:
    E96-A No:5
      Page(s):
    896-907

    It is known that demand and supply power balancing is an essential method to operate power delivery system and prevent blackouts caused by power shortage. In this paper, we focus on the implementation of demand response strategy to save power during peak hours by using Smart Grid. It is obviously impractical with centralized power control network to realize the real-time control performance, where a single central controller measures the huge metering data and sends control command back to all customers. For that purpose, we propose a new architecture of hierarchical distributed power control network which is scalable regardless of the network size. The sub-controllers are introduced to partition the large system into smaller distributed clusters where low-latency local feedback power control loops are conducted to guarantee control stability. Furthermore, sub-controllers are stacked up in an hierarchical manner such that data are fed back layer-by-layer in the inbound while in the outbound control responses are decentralized in each local sub-controller for realizing the global objectives. Numerical simulations in a realistic scenario of up to 5000 consumers show the effectiveness of the proposed scheme to achieve a desired 10% peak power saving by using off-the-shelf wireless devices with IEEE802.15.4g standard. In addition, a small-scale power control system for green building test-bed is implemented to demonstrate the potential use of the proposed scheme for power saving in real life.

  • Energy-Efficient IDCT Design for DS-CDMA Watermarking Systems

    Shan-Chun KUO  Hong-Yuan JHENG  Fan-Chieh CHENG  Shanq-Jang RUAN  

     
    LETTER-VLSI Design Technology and CAD

      Vol:
    E96-A No:5
      Page(s):
    995-996

    In this letter, a design of inverse discrete cosine transform for energy-efficient watermarking mechanism based on DS-CDMA with significant energy and area reduction is presented. Taking advantage of converged input data value set as a precomputation concept, the proposed one-dimensional IDCT is a multiplierless hardware which differs from Loeffler architecture and has benefits of low complexity and low power consumption. The experimental results show that our design can reduce 85.2% energy consumption and 58.6% area. Various spectrum and spatial attacks are also tested to corroborate the robustness.

  • Saliency Density and Edge Response Based Salient Object Detection

    Huiyun JING  Qi HAN  Xin HE  Xiamu NIU  

     
    LETTER-Image Recognition, Computer Vision

      Vol:
    E96-D No:5
      Page(s):
    1243-1246

    We propose a novel threshold-free salient object detection approach which integrates both saliency density and edge response. The salient object with a well-defined boundary can be automatically detected by our approach. Saliency density and edge response maximization is used as the quality function to direct the salient object discovery. The global optimal window containing a salient object is efficiently located through the proposed saliency density and edge response based branch-and-bound search. To extract the salient object with a well-defined boundary, the GrabCut method is applied, initialized by the located window. Experimental results show that our approach outperforms the methods only using saliency or edge response and achieves a comparable performance with the best state-of-the-art method, while being without any threshold or multiple iterations of GrabCut.

  • A 1.5 Gb/s Highly Parallel Turbo Decoder for 3GPP LTE/LTE-Advanced

    Yun CHEN  Xubin CHEN  Zhiyuan GUO  Xiaoyang ZENG  Defeng HUANG  

     
    LETTER-Fundamental Theories for Communications

      Vol:
    E96-B No:5
      Page(s):
    1211-1214

    A highly parallel turbo decoder for 3GPP LTE/LTE-Advanced systems is presented. It consists of 32 radix-4 soft-in/soft-out (SISO) decoders. Each SISO decoder is based on the proposed full-parallel sliding window (SW) schedule. Implemented in a 0.13 µm CMOS technology, the proposed design occupies 12.96 mm2 and achieves 1.5 Gb/s while decoding size-6144 blocks with 5.5 iterations. Compared with conventional SW schedule, the throughput is improved by 30–76% with 19.2% area overhead and negligible energy overhead.

  • Random Walks on Stochastic and Deterministic Small-World Networks

    Zi-Yi WANG  Shi-Ze GUO  Zhe-Ming LU  Guang-Hua SONG  Hui LI  

     
    LETTER-Information Network

      Vol:
    E96-D No:5
      Page(s):
    1215-1218

    Many deterministic small-world network models have been proposed so far, and they have been proven useful in describing some real-life networks which have fixed interconnections. Search efficiency is an important property to characterize small-world networks. This paper tries to clarify how the search procedure behaves when random walks are performed on small-world networks, including the classic WS small-world network and three deterministic small-world network models: the deterministic small-world network created by edge iterations, the tree-structured deterministic small-world network, and the small-world network derived from the deterministic uniform recursive tree. Detailed experiments are carried out to test the search efficiency of various small-world networks with regard to three different types of random walks. From the results, we conclude that the stochastic model outperforms the deterministic ones in terms of average search steps.

  • A Design Methodology for Three-Dimensional Hybrid NoC-Bus Architecture

    Lei ZHOU  Ning WU  Xin CHEN  

     
    PAPER

      Vol:
    E96-C No:4
      Page(s):
    492-500

    Three dimensional integration using Through-Silicon Vias (TSVs) offers short inter-layer interconnects and higher packing density. In order to take advantage of these attributes, a novel hybrid 3D NoC-Bus architecture is proposed in the paper. For vertical link, a Fake Token Bus architecture is elaborated, which utilizes the bandwidth efficiently by updating token synchronously. Based on this bus architecture, a methodology of hybrid 3D NoC-Bus design is introduced. The network hybridizes with the bus in vertical link and distributes long links of the full connected network into different layers, which achieves a network with a diameter of only 3 hops and limited radix. In addition, a congestion-aware routing algorithm applied to the hybrid network is proposed. The algorithm routes packets in horizontal firstly when the bus is busy, which balances the communication and reduces the possibility of congestion. Experimental results show that our network can achieve a 34.4% reduction in latency and a 43% reduction in power consumption under uniform random traffic and a 36.9% reduction in latency and a 48% reduction in power consumption under hotspot traffic over regular 3D mesh implementations on average.

  • Cell Search Synchronization under the Presence of Timing and Frequency Offsets in W-CDMA

    Wisam K. HUSSAIN  Loay D. KHALAF  Mohammed HAWA  

     
    PAPER-Wireless Communication Technologies

      Vol:
    E96-B No:4
      Page(s):
    1012-1018

    Initial cell search in wideband code-division multiple-access (W-CDMA) systems is a challenging process. On the one hand, channel impairments such as multipath fading, Doppler shift, and noise create frequency and time offsets in the received signal. On the other hand, the residual synchronization error of the crystal oscillator at the mobile station also causes time and frequency offsets. Such offsets can affect the ability of a mobile station to perform cell search. Previous work concentrated on cell synchronization algorithms that considered multipath channels and frequency offsets, but ignored clock and timing offsets due to device tolerances. This work discusses a robust initial cell search algorithm, and quantifies its performance in the presence of frequency and time offsets due to two co-existing problems: channel impairments and clock drift at the receiver. Another desired performance enhancement is the reduction of power consumption of the receiver, which is mainly due to the computational complexity of the algorithms. This power reduction can be achieved by reducing the computational complexity by a divide and conquer strategy during the synchronization process.

  • Fine-Grained Run-Tume Power Gating through Co-optimization of Circuit, Architecture, and System Software Design Open Access

    Hiroshi NAKAMURA  Weihan WANG  Yuya OHTA  Kimiyoshi USAMI  Hideharu AMANO  Masaaki KONDO  Mitaro NAMIKI  

     
    INVITED PAPER

      Vol:
    E96-C No:4
      Page(s):
    404-412

    Power consumption has recently emerged as a first class design constraint in system LSI designs. Specially, leakage power has occupied a large part of the total power consumption. Therefore, reduction of leakage power is indispensable for efficient design of high-performance system LSIs. Since 2006, we have carried out a research project called “Innovative Power Control for Ultra Low-Power and High-Performance System LSIs”, supported by Japan Science and Technology Agency as a CREST research program. One of the major objectives of this project is reducing the leakage power consumption of system LSIs by innovative power control through tight cooperation and co-optimization of circuit technology, architecture, and system software designs. In this project, we focused on power gating as a circuit technique for reducing leakage power. Temporal granularity is one of the most important issue in power gating. Thus, we have developed a series of Geysers as proof-of-concept CPUs which provide several mechanisms of fine-grained run-time power gating. In this paper, we describe their concept and design, and explain why co-optimization of different design layers are important. Then, three kinds of power gating implementations and their evaluation are presented from the view point of power saving and temporal granularity.

  • Hierarchical Cooperation in Ultra-Wide Band Ad Hoc Networks

    Won-Yong SHIN  Koji ISHIBASHI  

     
    LETTER-Fundamental Theories for Communications

      Vol:
    E96-B No:3
      Page(s):
    887-890

    We show an improved throughput scaling law for an ultra-wide band (UWB) ad hoc network by using a modified hierarchical cooperation (HC) strategy; the n wireless nodes are assumed to be randomly sited. In a dense network of unit area, our result indicates that the derived throughput scaling depends on the path-loss exponent α for certain operating regimes due to the power-limited characteristics. It also turns out that the use of HC is helpful in improving the throughput scaling of our UWB network in some conditions. More specifically, assuming that the bandwidth scales faster than nα+1(log n)α/2, it is shown that the HC protocol outperforms nearest multi-hop routing for 2 < α < 3 while using nearest multi-hop routing leads to higher throughput for α ≥ 3.

321-340hit(1309hit)