The search functionality is under construction.
The search functionality is under construction.

Keyword Search Result

[Keyword] ATPG(15hit)

1-15hit
  • A Test Pattern Compaction Method Using SAT-Based Fault Grouping

    Yusuke MATSUNAGA  

     
    PAPER

      Vol:
    E99-A No:12
      Page(s):
    2302-2309

    This paper presents a test pattern compaction algorithm applicable for large scale circuits. The proposed methods formalizes the test pattern compaction problem as a problem finding minimum set of compatible fault groups. Also, an efficient algorithm checking compatibility of fault group is proposed. The experimental results show that the proposed algorithm achieves similar or better results against a couple of existing methods, especially for middle circuits.

  • SAT-Based Test Generation for Open Faults Using Fault Excitation Caused by Effect of Adjacent Lines

    Jun YAMASHITA  Hiroyuki YOTSUYANAGI  Masaki HASHIZUME  Kozo KINOSHITA  

     
    PAPER-Logic Synthesis, Test and Verification

      Vol:
    E96-A No:12
      Page(s):
    2561-2567

    Open faults are difficult to test since the voltage at the floating line is unpredictable and depends on the voltage at the adjacent lines. The effect of open faults can be easily excited if a test pattern provides the opposite logic value to most of the adjacent lines. In this paper, we present a procedure to generate as high a quality test as possible. We define the test quality for evaluating the effect of adjacent lines by assigning an opposite logic value to the faulty line. In our proposed test generation method, we utilize the SAT-based ATPG method. We generate test patterns that propagate the faulty effect to primary outputs and assign logic values to adjacent lines opposite that of the faulty line. In order to estimate test quality for open faults, we define the excitation effectiveness Eeff. To reduce the test volume, we utilize the open fault simulation. We calculate the excitation effectiveness by open fault simulation in order to eliminate unnecessary test patterns. The experimental results for the benchmark circuits prove the effectiveness of our procedure.

  • A Capture-Safety Checking Metric Based on Transition-Time-Relation for At-Speed Scan Testing

    Kohei MIYASE  Ryota SAKAI  Xiaoqing WEN  Masao ASO  Hiroshi FURUKAWA  Yuta YAMATO  Seiji KAJIHARA  

     
    PAPER

      Vol:
    E96-D No:9
      Page(s):
    2003-2011

    Test power has become a critical issue, especially for low-power devices with deeply optimized functional power profiles. Particularly, excessive capture power in at-speed scan testing may cause timing failures that result in test-induced yield loss. This has made capture-safety checking mandatory for test vectors. However, previous capture-safety checking metrics suffer from inadequate accuracy since they ignore the time relations among different transitions caused by a test vector in a circuit. This paper presents a novel metric called the Transition-Time-Relation-based (TTR) metric which takes transition time relations into consideration in capture-safety checking. Detailed analysis done on an industrial circuit has demonstrated the advantages of the TTR metric. Capture-safety checking with the TTR metric greatly improves the accuracy of test vector sign-off and low-capture-power test generation.

  • Test Pattern Ordering and Selection for High Quality Test Set under Constraints

    Michiko INOUE  Akira TAKETANI  Tomokazu YONEDA  Hideo FUJIWARA  

     
    PAPER-Dependable Computing

      Vol:
    E95-D No:12
      Page(s):
    3001-3009

    Nano-scale VLSI design is facing the problems of increased test data volume. Small delay defects are becoming possible sources of test escapes, and high delay test quality and therefore a greater volume of test data are required. The increased test data volume requires more tester memory and test application time, and both result in test cost inflation. Test pattern ordering gives a practical solution to reduce test cost, where test patterns are ordered so that more defects can be detected as early as possible. In this paper, we propose a test pattern ordering method based on SDQL (Statistical Delay Quality Level), which is a measure of delay test quality considering small delay defects. Our proposed method orders test patterns so that SDQL shrinks fast, which means more delay defects can be detected as early as possible. The proposed method efficiently orders test patterns with minimal usage of time-consuming timing-aware fault simulation. Experimental results demonstrate that our method can obtain test pattern ordering within a reasonable time, and also suggest how to prepare test sets suitable as inputs of test pattern ordering.

  • Generation of Diagnostic Tests for Transition Faults Using a Stuck-At ATPG Tool

    Yoshinobu HIGAMI  Satoshi OHNO  Hironori YAMAOKA  Hiroshi TAKAHASHI  Yoshihiro SHIMIZU  Takashi AIKYO  

     
    PAPER-Dependable Computing

      Vol:
    E95-D No:4
      Page(s):
    1093-1100

    In this paper, we propose a test generation method for diagnosing transition faults. The proposed method assumes launch on capture test, and it generates test vectors for given fault pairs using a stuck-at ATPG tool so that they can be distinguished. If a given fault pair is indistinguishable, it is identified, and thus the proposed method achieves a complete diagnostic test generation. The conditions for distinguishing a fault pair are carefully considered, and they are transformed into the conditions of the detection of a stuck-at fault, and some additional logic gates are inserted in a CUT during the test generation process. Experimental results show that the proposed method can generate test vectors for distinguishing the fault pairs that are not distinguished by commercial tools, and also identify indistinguishable fault pairs.

  • On Structural Analysis and Efficiency for Graph-Based Rewiring Techniques

    Fu-Shing CHIM  Tak-Kei LAM  Yu-Liang WU  Hongbing FAN  

     
    PAPER-VLSI Design Technology and CAD

      Vol:
    E94-A No:12
      Page(s):
    2853-2865

    The digital logic rewiring technique has been shown to be one of the most powerful logic transformation methods. It has been proven that rewiring is able to further improve some already excellent results on many EDA problems, ranging from logic minimization, partitioning, FPGA technology mappings to final routings. Previous studies have shown that ATPG-based rewiring is one of the most powerful tools for logic perturbation while a graph-based rewiring engine is able to cover nearly one fifth of the target wires with 50 times runtime speedup. For some problems that only require good-enough and very quick solutions, this new rewiring technique may serve as a useful and more practical alternative. In this work, essential elements in graph-based rewiring such as rewiring patterns, pattern size and locality, etc., have been studied to understand their relationship with rewiring performance. A structural analysis on the target-alternative wire pairs discovered by ATPG-based and graph-based engines has also been conducted to analyze the structural characteristics that favor the identification of alternative wires. We have also developed a hybrid rewiring approach that can take the advantages from both ATPG-based and graph-based rewiring. Experimental results suggest that our hybrid engine is able to achieve about 50% of alternative wire coverage when compared with the state-of-the-art ATPG-based rewiring engine with only 4% of the runtime. Through applying our hybrid rewiring approach to the FGPA technology mapping problem, we could achieve similar depth level and look-up table number reductions with much shorter runtime. This shows that the fast runtime of our hybrid approach does not sacrifice the quality of certain rewiring applications.

  • Distribution-Controlled X-Identification for Effective Reduction of Launch-Induced IR-Drop in At-Speed Scan Testing

    Kohei MIYASE  Kenji NODA  Hideaki ITO  Kazumi HATAYAMA  Takashi AIKYO  Yuta YAMATO  Hiroshi FURUKAWA  Xiaoqing WEN  Seiji KAJIHARA  

     
    PAPER-Dependable Computing

      Vol:
    E94-D No:6
      Page(s):
    1216-1226

    Test data modification based on test relaxation and X-filling is the preferred approach for reducing excessive IR-drop in at-speed scan testing to avoid test-induced yield loss. However, none of the existing test relaxation methods can control the distribution of identified don't care bits (X-bits), thus adversely affecting the effectiveness of IR-drop reduction. In this paper, we propose a novel test relaxation method, called Distribution-Controlled X-Identification (DC-XID), which controls the distribution of X-bits identified in a set of fully-specified test vectors for the purpose of effectively reducing IR-drop. Experiments on large industrial circuits demonstrate the effectiveness and practicality of the proposed method in reducing IR-drop, without lowering fault coverage, increasing test data volume and circuit size.

  • Reduction of Test Data Volume and Improvement of Diagnosability Using Hybrid Compression

    Anis UZZAMAN  Brion KELLER  Brian FOUTZ  Sandeep BHATIA  Thomas BARTENSTEIN  Masayuki ARAI  Kazuhiko IWASAKI  

     
    PAPER

      Vol:
    E93-D No:1
      Page(s):
    17-23

    This paper describes a simple means to enable direct diagnosis by bypassing MISRs on a small set of tests (MISR-bypass test mode) while achieving ultimate output compression using MISRs for the majority of tests (MISR-enabled test mode.) By combining two compression schemes, XOR and MISRs in the same device, it becomes possible to have high compression and still support compression mode volume diagnostics. In our experiment, the MISR-bypass test was first executed and at 10% of the total test set the MISR-enabled test was performed. The results show that compared with MISR+XOR-based compression the proposed technique provides better volume diagnosis with slightly small (0.71 X to 0.97 X) compaction ratio. The scan cycles are about the same as the MISR-enabled mode. A possible application to partial good chips is also shown.

  • Test Data Compression for Scan-Based BIST Aiming at 100x Compression Rate

    Masayuki ARAI  Satoshi FUKUMOTO  Kazuhiko IWASAKI  Tatsuru MATSUO  Takahisa HIRAIDE  Hideaki KONISHI  Michiaki EMORI  Takashi AIKYO  

     
    PAPER-Test Compression

      Vol:
    E91-D No:3
      Page(s):
    726-735

    We developed test data compression scheme for scan-based BIST, aiming to compress test stimuli and responses by more than 100 times. As scan-BIST architecture, we adopt BIST-Aided Scan Test (BAST), and combines four techniques: the invert-and-shift operation, run-length compression, scan address partitioning, and LFSR pre-shifting. Our scheme achieved a 100x compression rate in environments where Xs do not occur without reducing the fault coverage of the original ATPG vectors. Furthermore, we enhanced the masking logic to reduce data for X-masking so that test data is still compressed to 1/100 in a practical environment where Xs occur. We applied our scheme to five real VLSI chips, and the technique compressed the test data by 100x for scan-based BIST.

  • Multi-Cycle Path Detection for Sequential Circuits and Its Application to Real Designs

    Hiroyuki HIGUCHI  

     
    PAPER-Logic and High Level Synthesis

      Vol:
    E86-A No:12
      Page(s):
    3176-3183

    This paper proposes a fast multi-cycle path detection method for large sequential circuits. The proposed method is based on ATPG techniques, especially on implication techniques, to use circuit structures and multi-cycle path conditions directly. The method also checks whether or not a multi-cycle path may be invalidated by static hazards at the inputs of flip-flops. Then we explain how to apply the proposed algorithm to real industrial designs. Experimental results show that our method is much faster than conventional ones and that it is efficient enough to handle large industrial designs.

  • High-Level Test Generation for Asynchronous Circuits from Signal Transition Graph

    Eunjung OH  Soo-Hyun KIM  Dong-Ik LEE  Ho-Yong CHOI  

     
    PAPER-Test Generation

      Vol:
    E85-A No:12
      Page(s):
    2674-2683

    In this paper, we have proposed an efficient high-level test generation method for asynchronous circuits. The test generation is based on specification level, especially on Signal Transition Graph (STG), which is a kind of specification method for asynchronous circuits. We define a high-level fault model, called a single State Transition Fault (STF) model on STG. Test patterns for STFs are generated based on Stable State Graph (SSG), which can be derived from STG directly. The state space explored in test generation is greatly reduced and hence the test generation cost is small in terms of execution time. To enhance the fault coverage at gate-level, we have also proposed an extended STF (ESTF) model with additional gate-level information. Experimental results show that the generated test for STFs achieves high fault coverage with low cost for single stuck-at faults of its corresponding synthesized gate-level circuit. The generated test for ESTFs attains higher fault coverage with same benchmark in cost of longer execution time. Further, we have also proposed a 3-phase test generation based on the above proposed methods. An effective test generation is implemented by 3-phase: 1) test generation for STFs, 2) test generation for ESTFs, and 3) test generation using an asynchronous product machine traversal method. Experimental results also show that the proposed 3-phase test generation achieves higher fault coverage in cost of longer execution time.

  • Average Power Reduction in Scan Testing by Test Vector Modification

    Seiji KAJIHARA  Koji ISHIDA  Kohei MIYASE  

     
    PAPER-Test Generation and Modification

      Vol:
    E85-D No:10
      Page(s):
    1483-1489

    This paper presents a test vector modification method for reducing average power dissipation during test application for a full-scan circuit. The method first identifies a set of don't care (X) inputs of given test vectors, to which either logic value 0 or 1 can be assigned without losing fault coverage. Then, the method reassigns logic values to the X inputs so as to decrease switching activity of the circuit during scan shifting. Experimental results for benchmark circuits show the proposed method could decrease switching activity of a given test set to 45% of the original test sets in average.

  • Test Generation for SI Asynchronous Circuits with Undetectable Faults from Signal Transition Graph Specification

    Eunjung OH  Jeong-Gun LEE  Dong-Ik LEE  Ho-Yong CHOI  

     
    PAPER

      Vol:
    E84-A No:6
      Page(s):
    1506-1514

    In this paper, we propose an approach to test pattern generation for Speed-Independent (SI) asynchronous control circuits. Test patterns are generated based on a specified sequence, which is derived from the specification of a target circuit in the form of a Signal Transition Graph (STG). Since the sequence represents the behavior of a circuit only with stable states, the state space of the circuit can be represented as reduced one. A product machine, which consists of a fault-free circuit and a faulty circuit, is constructed and then the specified sequence is applied sequentially to the product machine. A fault is detected when the product machine produces inconsistency, i.e., output values of a fault-free circuit and a faulty circuit are different, and the sequentially applied part of the sequence becomes a test pattern to detect the fault. We also propose a test generation method using an undetectable fault identification as well as the specified sequence. Since the reduced state space is a subset of that of a gate level implementation, test patterns based on a specification cannot detect some faults. The proposed method identifies those faults with a circuit topology in advance. BDD is used to implement the proposed methods efficiently, since the proposed methods have a lot of state sets and set operations. Experimental results show that the test generation using a specification achieves high fault coverage over single stuck-at fault model for several synthesized SI circuits. The proposed test generation using a circuit topology as well as a specification decreases execution time for test generation with negligible cost retaining high fault coverage.

  • High-Level Synthesis for Weakly Testable Data Paths

    Michiko INOUE  Kenji NODA  Takeshi HIGASHIMURA  Toshimitsu MASUZAWA  Hideo FUJIWARA  

     
    PAPER-Test Synthesis

      Vol:
    E81-D No:7
      Page(s):
    645-653

    We present a high-level synthesis scheme that considers weak testability of generated register-transfer level (RTL) data paths, as well as their area and performance. The weak testability, proposed in our previous work, is a testability measure of RTL data paths for non-scan design. In our scheme, we first extract a condition on resource sharing sufficient for weak testability from a data flow graph before synthesis, and treat the condition as design objectives in the following synthesis tasks. We propose heuristic synthesis algorithms which optimize area and the design objectives under the performance constraint.

  • An Iterative Improvement Method for Generating Compact Tests for IDDQ Testing of Bridging Faults

    Tsuyoshi SHINOGI  Terumine HAYASHI  

     
    PAPER-IDDQ Testing

      Vol:
    E81-D No:7
      Page(s):
    682-688

    IDDQ testing, or current testing, is a powerful method which detects a large class of defects which cause abnormal quiescent current, by measuring the power supply current. One of the problems on IDDQ testing which prevent its full practical use in manufacturing is that the testing speed is slow owing to time-consuming IDDQ measurement. One of the solutions to this problem is test pattern compaction. This paper presents an efficient method for generating a compact test set for IDDQ testing of bridging faults in combinational CMOS circuits. Our method is based on the iterative improvement method. Each of random primary input patterns is iteratively improved through changing its values pin by pin selected orderly, so as to increase the number of newly detected faults in the current yet undetected fault set. While our method is simple and easy to implement, it is efficient. Experimental results for large ISCAS benchmark circuits demonstrate its efficiency in comparison with results of previous methods.