The search functionality is under construction.
The search functionality is under construction.

Keyword Search Result

[Keyword] UMP(318hit)

61-80hit(318hit)

  • An Analytical Model of Charge Pump DC-DC Voltage Multiplier Using Diodes

    Toru TANZAWA  

     
    PAPER-Circuit Theory

      Vol:
    E100-A No:5
      Page(s):
    1137-1144

    An output voltage-current equation of charge pump DC-DC voltage multiplier using diodes is provided to cover wide clock frequency and output current ranges for designing energy harvester operating at a near-threshold voltage or in sub-threshold region. Equivalent circuits in slow and fast switching limits are extracted. The effective threshold voltage of the diode in slow switching limit is also derived as a function of electrical characteristics of the diodes, such as the saturation current and voltage slope parameter, and design parameters such as the number of stages, capacitance per stage, parasitic capacitance at the top plate of the main boosting capacitor, and the clock frequency. The model is verified compared with SPICE simulation.

  • Inferring User Consumption Preferences from Social Media

    Yang LI  Jing JIANG  Ting LIU  

     
    PAPER-Artificial Intelligence, Data Mining

      Pubricized:
    2016/12/09
      Vol:
    E100-D No:3
      Page(s):
    537-545

    Social Media has already become a new arena of our lives and involved different aspects of our social presence. Users' personal information and activities on social media presumably reveal their personal interests, which offer great opportunities for many e-commerce applications. In this paper, we propose a principled latent variable model to infer user consumption preferences at the category level (e.g. inferring what categories of products a user would like to buy). Our model naturally links users' published content and following relations on microblogs with their consumption behaviors on e-commerce websites. Experimental results show our model outperforms the state-of-the-art methods significantly in inferring a new user's consumption preference. Our model can also learn meaningful consumption-specific topics automatically.

  • Design a Folded Mixer with High Conversion Gain for 2-11GHz WiMAX System

    Zhi-Ming LIN  Po-Yu KUO  Zhong-Cheng SU  

     
    PAPER-Microwaves, Millimeter-Waves

      Vol:
    E100-C No:2
      Page(s):
    204-210

    The mixer is a crucial circuit block in a WiMax system receiver. The performance of a mixer depends on three specifications: conversion gain, linearity and noise figure. Many mixers have been recently proposed for UWB and wideband systems; however, they either cannot achieve the high conversion gain required for a WiMAX system or they are prone to high power consumption. In this paper, a folded mixer with a high conversion gain is designed for a 2-11GHz WiMAX system and it can achieve a 20MHz IF output signal. From the simulation results, the proposed folded mixer achieves a conversion gain of 18.9 to 21.5dB for the full bandwidth. With a 0.2 to 4.4dBm IIP3, the NF is 13.5 to 17.6dB. The folded mixer is designed using TSMC 0.18µm CMOS technology. The core power consumption of the mixer is 11.8mW.

  • Oblivious Polynomial Evaluation in the Exponent, Revisited

    Naoto ITAKURA  Kaoru KUROSAWA  Kazuki YONEYAMA  

     
    PAPER

      Vol:
    E100-A No:1
      Page(s):
    26-33

    There are two extensions of oblivious polynomial evaluation (OPE), OPEE (oblivious polynomial evaluation in the exponent) and OPEE2. At TCC 2015, Hazay showed two OPEE2 protocols. In this paper, we first show that her first OPEE2 protocol does not run in polynomial time if the computational DH assumption holds. We next present a constant round OPEE protocol under the DDH assumption.

  • A Highly Efficient Switched-Capacitor Voltage Boost Converter with Nano-Watt MPPT Controller for Low-Voltage Energy Harvesting

    Toshihiro OZAKI  Tetsuya HIROSE  Takahiro NAGAI  Keishi TSUBAKI  Nobutaka KUROKI  Masahiro NUMA  

     
    PAPER

      Vol:
    E99-A No:12
      Page(s):
    2491-2499

    This paper presents a fully integrated voltage boost converter consisting of a charge pump (CP) and maximum power point tracking (MPPT) controller for ultra-low power energy harvesting. The converter is based on a conventional CP circuit and can deliver a wide range of load current by using nMOS and pMOS driver circuits for highly efficient charge transfer operation. The MPPT controller we propose dissipates nano-watt power to extract maximum power regardless of the harvester's power generation conditions and load current. The measurement results demonstrated that the circuit converted a 0.49-V input to a 1.46-V output with 73% power conversion efficiency when the output power was 348µW. The circuit can operate at an extremely low input voltage of 0.21V.

  • A Fast MER Enumeration Algorithm for Online Task Placement on Reconfigurable FPGAs

    Tieyuan PAN  Lian ZENG  Yasuhiro TAKASHIMA  Takahiro WATANABE  

     
    PAPER

      Vol:
    E99-A No:12
      Page(s):
    2412-2424

    In this paper, we propose a fast Maximal Empty Rectangle (MER) enumeration algorithm for online task placement on reconfigurable Field-Programmable Gate Arrays (FPGAs). On the assumption that each task utilizes rectangle-shaped resources, the proposed algorithm can manage the free space on FPGAs by an MER list. When assigning or removing a task, a series of MERs are selected and cut into segments according to the task and its assignment location. By processing these segments, the MER list can be updated quickly with low memory consumption. Under the proof of the upper limit of the number of the MERs on the FPGA, we analyze both the time and space complexity of the proposed algorithm. The efficiency of the proposed algorithm is verified by experiments.

  • Relating Crosstalk to Plane-Wave Field-to-Wire Coupling

    Flavia GRASSI  Giordano SPADACINI  Keliang YUAN  Sergio A. PIGNARI  

     
    PAPER-Electromagnetic Compatibility(EMC)

      Pubricized:
    2016/05/25
      Vol:
    E99-B No:11
      Page(s):
    2406-2413

    In this work, a novel formulation of crosstalk (XT) is developed, in which the perturbation/loading effect that the generator circuit exerts on the passive part of the receptor circuit is elucidated. Practical conditions (i.e., weak coupling and matching/mismatching of the generator circuit) under which this effect can be neglected are then discussed and exploited to develop an alternative radiated susceptibility (RS) test procedure, which resorts to crosstalk to induce at the terminations of a cable harness the same disturbance that would be induced by an external uniform plane-wave field. The proposed procedure, here developed with reference to typical RS setups foreseen by Standards of the aerospace sector, assures equivalence with field coupling without a priori knowledge and/or specific assumptions on the units connected to the terminations of the cable harness. Accuracy of the proposed scheme of equivalence is assessed by virtual experiments carried out in a full-wave simulation environment.

  • Tunable Dual-Frequency Immittance Inverters on Dual-Composite Right/Left-Handed Transmission Lines (D-CRLH TL) with Variable Capacitors

    Dmitry KHOLODNYAK  Evgenia ZAMESHAEVA  Viacheslav TURGALIEV  Evgenii VOROBEV  

     
    PAPER

      Vol:
    E99-C No:10
      Page(s):
    1113-1121

    Design of lumped-element immittance inverters which support dual-frequency operation and tuning of both operational frequencies is presented. Unique properties of the dual-composite right/left-handed transmission lines (D-CRLH TL) give an opportunity to design immittance inverters with two non-multiple operational frequencies and a stop band between them. Replacement of capacitors of D-CRLH TL unit cells with variable ones enables inverter tunability. Tunability analysis of such immittance inverters is given. It is shown that a tuning range of the operational frequencies is limited by a tolerable variation of the inverter parameter. The design concept is verified by results of electromagnetic simulation and measured frequency characteristics of fixed (non-tunable) as well as tunable dual-frequency immittance inverters and dual-band filters using the inverters.

  • JND-Based Power Consumption Reduction for OLED Displays

    Ji-Hoon CHOI  Oh-Young LEE  Myong-Young LEE  Kyung-Jin KANG  Jong-Ok KIM  

     
    PAPER-Image

      Vol:
    E99-A No:9
      Page(s):
    1691-1699

    With the appearance of large OLED panels, the OLED TV industry has experienced significant growth. However, this technology is still in the early stages of commercialization, and some technical challenges remain to be overcome. During the development phase of a product, power consumption is one of the most important considerations. To reduce power consumption in OLED displays, we propose a method based on just-noticeable difference (JND). JND refers to the minimum visibility threshold when visual content is altered and results from physiological and psychophysical phenomena in the human visual system (HVS). A JND model suitable for OLED displays is derived from numerous experiments with OLED displays. With the use of JND, it is possible to reduce power consumption while minimizing perceptual image quality degradation.

  • Exhaustive and Efficient Identification of Rationales Using GQM+Strategies with Stakeholder Relationship Analysis

    Takanobu KOBORI  Hironori WASHIZAKI  Yoshiaki FUKAZAWA  Daisuke HIRABAYASHI  Katsutoshi SHINTANI  Yasuko OKAZAKI  Yasuhiro KIKUSHIMA  

     
    PAPER

      Pubricized:
    2016/07/06
      Vol:
    E99-D No:9
      Page(s):
    2219-2228

    To achieve overall business goals, GQM+Strategies is one approach that aligns business goals at each level of an organization to strategies and assesses the achievement of goals. Strategies are based on rationales (contexts and assumptions). Because extracting all rationales is an important process in the GQM+Strategies approach, we propose the Context-Assumption-Matrix (CAM), which refines the GQM+Strategies model by extracting rationales based on analyzing the relationships between stakeholders, and the process of using GQM+Strategies with CAM effectively. To demonstrate the effectiveness of the CAM and the defined process, we conducted three experiments involving students majoring in information sciences at two different Japanese universities. Moreover, we applied the GQM+Strategies approach with CAM to the Recruit Sumai Company in Japan. The results reveal that compared to GQM+Strategies alone, GQM+Strategies with CAM can extract rationales of the same quality more efficiently and exhaustively.

  • Learning from Multiple Sources via Multiple Domain Relationship

    Zhen LIU  Junan YANG  Hui LIU  Jian LIU  

     
    LETTER-Pattern Recognition

      Pubricized:
    2016/04/11
      Vol:
    E99-D No:7
      Page(s):
    1941-1944

    Transfer learning extracts useful information from the related source domain and leverages it to promote the target learning. The effectiveness of the transfer was affected by the relationship among domains. In this paper, a novel multi-source transfer learning based on multi-similarity was proposed. The method could increase the chance of finding the sources closely related to the target to reduce the “negative transfer” and also import more knowledge from multiple sources for the target learning. The method explored the relationship between the sources and the target by multi-similarity metric. Then, the knowledge of the sources was transferred to the target based on the smoothness assumption, which enforced that the target classifier shares similar decision values with the relevant source classifiers on the unlabeled target samples. Experimental results demonstrate that the proposed method can more effectively enhance the learning performance.

  • Power Consumption Signature: Characterizing an SSD

    Balgeun YOO  Seongjin LEE  Youjip WON  

     
    PAPER-Data Engineering, Web Information Systems

      Pubricized:
    2016/03/30
      Vol:
    E99-D No:7
      Page(s):
    1796-1809

    SSDs consist of non-mechanical components (host interface, control core, DRAM, flash memory, etc.) whose integrated behavior is not well-known. This makes an SSD seem like a black-box to users. We analyzed power consumption of four SSDs with standard I/O operations. We find the following: (a) the power consumption of SSDs is not significantly lower than that of HDDs, (b) all SSDs we tested had similar power consumption patterns which, we assume, is a result of their internal parallelism. SSDs have a parallel architecture that connects flash memories by channel or by way. This parallel architecture improves performance of SSDs if the information is known to the file system. This paper proposes three SSD characterization algorithms to infer the characteristics of SSD, such as internal parallelism, I/O unit, and page allocation scheme, by measuring its power consumption with various sized workloads. These algorithms are applied to four real SSDs to find: (i) the internal parallelism to decide whether to perform I/Os in a concurrent or an interleaved manner, (ii) the I/O unit size that determines the maximum size that can be assigned to a flash memory, and (iii) a page allocation method to map the logical address of write operations, which are requested from the host to the physical address of flash memory. We developed a data sampling method to provide consistency in collecting power consumption patterns of each SSD. When we applied three algorithms to four real SSDs, we found flash memory configurations, I/O unit sizes, and page allocation schemes. We show that the performance of SSD can be improved by aligning the record size of file system with I/O unit of SSD, which we found by using our algorithm. We found that Q Pro has I/O unit of 32 KB, and by aligning the file system record size to 32 KB, the performance increased by 201% and energy consumption decreased by 85%, which compared to the record size of 4 KB.

  • Layer-Aware 3D-IC Partitioning for Area-Overhead Reduction Considering the Power of Interconnections and Pads

    Yung-Hao LAI  Yang-Lang CHANG  Jyh-Perng FANG  Lena CHANG  Hirokazu KOBAYASHI  

     
    PAPER-VLSI Design Technology and CAD

      Vol:
    E99-A No:6
      Page(s):
    1206-1215

    Through-silicon vias (TSV) allow the stacking of dies into multilayer structures, and solve connection problems between neighboring tiers for three-dimensional (3D) integrated circuit (IC) technology. Several studies have investigated the placement and routing in 3D ICs, but not much has focused on circuit partitioning for 3D stacking. However, with the scaling trend of CMOS technology, the influence of the area of I/O pads, power/ground (P/G) pads, and TSVs should not be neglected in 3D partitioning technology. In this paper, we propose an iterative layer-aware partitioning algorithm called EX-iLap, which takes into account the area of I/O pads, P/G pads, and TSVs for area balancing and minimization of inter-tier interconnections in a 3D structure. Minimizing the quantity of TSVs reduces the total silicon die area, which is the main source of recurring costs during fabrication. Furthermore, estimations of the number of TSVs and the total area are somewhat imprecise if P/G TSVs are not taken into account. Therefore, we calculate the power consumption of each cell and estimate the number of P/G TSVs at each layer. Experimental results show that, after considering the power of interconnections and pads, our algorithm can reduce area-overhead by ~39% and area standard deviation by ~69%, while increasing the quantity of TSVs by only 12%, as compared to the algorithm without considering the power of interconnections and pads.

  • Determinacy and Subsumption of Single-Valued Bottom-Up Tree Transducers

    Kenji HASHIMOTO  Ryuta SAWADA  Yasunori ISHIHARA  Hiroyuki SEKI  Toru FUJIWARA  

     
    PAPER

      Pubricized:
    2015/12/16
      Vol:
    E99-D No:3
      Page(s):
    575-587

    This paper discusses the decidability of determinacy and subsumption of tree transducers. For two tree transducers T1 and T2, T1 determines T2 if the output of T2 can be identified by the output of T1, that is, there is a partial function f such that [[T2]]=f∘[[T1]] where [[T1]] and [[T2]] are tree transformation relations induced by T1 and T2, respectively. Also, T1 subsumes T2 if T1 determines T2 and the partial function f such that [[T2]]=f∘[[T1]] can be defined by a transducer in a designated class that T2 belongs to. In this paper, we show that determinacy is in coNEXPTIME for single-valued linear extended bottom-up tree transducers as the determiner class and single-valued bottom-up tree transducers as the determinee class. We also show that subsumption is in coNEXPITME for these classes, and a bottom-up tree transducer T3 such that [[T2]]=[[T3]]∘[[T1]] can be constructed if T1 subsumes T2.

  • Performance of Dynamic Instruction Window Resizing for a Given Power Budget under DVFS Control

    Hideki ANDO  Ryota SHIOYA  

     
    PAPER-Computer System

      Pubricized:
    2015/11/12
      Vol:
    E99-D No:2
      Page(s):
    341-350

    Dynamic instruction window resizing (DIWR) is a scheme that effectively exploits both memory-level parallelism and instruction-level parallelism by configuring the instruction window size appropriately for exploiting each parallelism. Although a previous study has shown that the DIWR processor achieves a significant speedup, power consumption has not been explored. The power consumption is increased in DIWR because the instruction window resources are enlarged in memory-intensive phases. If the power consumption exceeds the power budget determined by certain requirements, the DIWR processor must save power and thus, the performance previously presented cannot be achieved. In this paper, we explore to what extent the DIWR processor can achieve improved performance for a given power budget, assuming that dynamic voltage and frequency scaling (DVFS) is introduced as a power saving technique. Evaluation results using the SPEC2006 benchmark programs show that the DIWR processor, even with a constrained power budget, achieves a speedup over the conventional processor over a wide range of given power budgets. At the most important power budget point, i.e., when the power a conventional processor consumes without any power constraint is supplied, DIWR achieves a 16% speedup.

  • 25-Gbps/ch Error-Free Operation over 300-m MMF of Low-Power-Consumption Silicon-Photonics-Based Chip-Scale Optical I/O Cores Open Access

    Kenichiro YASHIKI  Toshinori UEMURA  Mitsuru KURIHARA  Yasuyuki SUZUKI  Masatoshi TOKUSHIMA  Yasuhiko HAGIHARA  Kazuhiko KURATA  

     
    INVITED PAPER

      Vol:
    E99-C No:2
      Page(s):
    148-156

    Aiming to solve the input/output (I/O) bottleneck concerning next-generation interconnections, 5×5-millimeters-squared silicon-photonics-based chip-scale optical transmitters/receivers (TXs/RXs) — called “optical I/O cores” — were developed. In addition to having a compact footprint, by employing low-power-consumption integrated circuits (ICs), as well as providing multimode-fiber (MMF) transmission in the O band and a user-friendly interface, the developed optical I/O cores allow common ease of use with applications such as multi-chip modules (MCMs) and active optical cables (AOCs). The power consumption of their hybrid-integrated ICs is 5mW/Gbps. Their high-density user-friendly optical interface has a spot-size-converter (SSC) function and permits the physical contact against the outer waveguides. As a result, they provide large enough misalignment tolerance to allow use of passive alignment and visual alignment. In a performance test, they demonstrated 25-Gbps/ch error-free operation over 300-m MMF.

  • Analog and Digital Collaborative Design Techniques for Wireless SoCs

    Ryuichi FUJIMOTO  

     
    INVITED PAPER

      Vol:
    E99-A No:2
      Page(s):
    514-522

    Analog and digital collaborative design techniques for wireless SoCs are reviewed in this paper. In wireless SoCs, delicate analog performance such as sensitivity of the receiver is easily degraded due to interferences from digital circuit blocks. On the other hand, an analog performance such as distortion is strongly compensated by digital assist techniques with low power consumption. In this paper, a sensitivity recovery technique using the analog and digital collaborative design, and digital assist techniques to achieve low-power and high-performance analog circuits are presented. Such analog and digital collaborative design is indispensable for wireless SoCs.

  • A Workload Assignment Policy for Reducing Power Consumption in Software-Defined Data Center Infrastructure

    Takaaki DEGUCHI  Yoshiaki TANIGUCHI  Go HASEGAWA  Yutaka NAKAMURA  Norimichi UKITA  Kazuhiro MATSUDA  Morito MATSUOKA  

     
    PAPER-Energy in Electronics Communications

      Vol:
    E99-B No:2
      Page(s):
    347-355

    In this paper, we propose a workload assignment policy for reducing power consumption by air conditioners in data centers. In the proposed policy, to reduce the air conditioner power consumption by raising the temperature set points of the air conditioners, the temperatures of all server back-planes are equalized by moving workload from the servers with the highest temperatures to the servers with the lowest temperatures. To evaluate the proposed policy, we use a computational fluid dynamics simulator for obtaining airflow and air temperature in data centers, and an air conditioner model based on experimental results from actual data center. Through evaluation, we show that the air conditioners' power consumption is reduced by 10.4% in a conventional data center. In addition, in a tandem data center proposed in our research group, the air conditioners' power consumption is reduced by 53%, and the total power consumption of the whole data center is exhibited to be reduced by 23% by reusing the exhaust heat from the servers.

  • High-Performance Regulated Charge Pump with an Extended Range of Load Current

    Roger Yubtzuan CHEN  Zong-Yi YANG  Hongchin LIN  

     
    BRIEF PAPER-Electronic Circuits

      Vol:
    E99-C No:1
      Page(s):
    143-146

    A regulated charge pump (CP) with an extended range of load current is presented. A power-efficient adaptive feedback controller is adopted. Verified by a 0.18µm CMOS technology with a power supply of 3.3V, the measured output voltage of the CP is regulated above 5V when the load current is varied from 2.5mA to 50mA. The measured power efficiency spans from 81.7% at lighter load to 75.2% when load current is 50mA. The measured output ripples are small and below 24mV.

  • An Analytical Model of AC-DC Charge Pump Voltage Multipliers

    Toru TANZAWA  

     
    PAPER-Integrated Electronics

      Vol:
    E99-C No:1
      Page(s):
    108-118

    This paper proposes an analytical, closed-form AC-DC voltage multiplier model and investigates the dependency of output current and input power on circuit and device parameters. The model uses no fitting parameters and a frequency term applicable to both multipliers using diodes and metal-oxide semiconductor field effect transistors (MOSFETs). Analysis enables circuit designers to estimate circuit parameters, such as the number of stages and capacitance per stages, and device parameters such as saturation current (in the case of diodes) or transconductance (in the case of MOSFETs). Comparisons of the proposed model with SPICE simulation results as well as other models are also provided for validation. In addition, design optimizations and the impact of AC power source impedance on output power are also investigated.

61-80hit(318hit)