The search functionality is under construction.
The search functionality is under construction.

Keyword Search Result

[Keyword] UMP(318hit)

41-60hit(318hit)

  • Optimized Charge Pump and Nonlinear Phase Frequency Detector for a Ka-Band Phase-Locked Loop in 90-nm CMOS Process

    Lu TANG  Zhigong WANG  Tiantian FAN  Faen LIU  Changchun ZHANG  

     
    PAPER-Electronic Circuits

      Pubricized:
    2019/06/07
      Vol:
    E102-C No:11
      Page(s):
    825-832

    In this paper, an improved charge pump (CP) and a modified nonlinear phase frequency detector (PFD) are designed and fabricated in a 90-nm CMOS process. The CP is optimized with a combination of circuit techniques such as pedestal error cancel scheme to eliminate the charge injection and the other non-ideal characteristics. The nonlinear PFD is based on a modified circuit topology to enhance the acquisition capability of the PLL. The optimized CP and nonlinear PFD are integrated into a Ka-band PLL. The measured output current mismatch ratio of the improved CP is less than 1% when the output voltage Vout fluctuates between 0.2 to 1.1V from a 1.2V power supply. The measured phase error detection range of the modified nonlinear PFD is between -2π and 2π. Owing to the modified CP and PFD, the measured reference spur of the Ka-band PLL frequency synthesizer containing the optimized CP and PFD is only -56.409dBc at 30-GHz at the locked state.

  • RLE-MRC: Robustness and Low-Energy Based Multiple Routing Configurations for Fast Failure Recovery

    Takayuki HATANAKA  Takuji TACHIBANA  

     
    PAPER-Network

      Pubricized:
    2019/04/12
      Vol:
    E102-B No:10
      Page(s):
    2045-2053

    Energy consumption is one of the important issues in communication networks, and it is expected that network devices such as network interface cards will be turned off to decrease the energy consumption. Moreover, fast failure recovery is an important issue in large-scale communication networks to minimize the impact of failure on data transmission. In order to realize both low energy consumption and fast failure recovery, a method called LE-MRC (Low-Energy based Multiple Routing Configurations) has been proposed. However, LE-MRC can degrade network robustness because some links ports are turned off for reducing the energy consumption. Nevertheless, network robustness is also important for maintaining the performance of data transmission and the network functionality. In this paper, for realizing both low energy consumption and fast failure recovery while maintaining network robustness, we propose Robustness and Low-Energy based Multiple Routing Configurations (RLE-MRC). In RLE-MRC, some links are categorized into unnecessary links, and those links are turned off to lower the energy consumption. In particular, the number of excluded links is determined based on the network robustness. As a result, the energy consumption can be reduced so as not to degrade the network robustness significantly. Simulations are conducted on some network topologies to evaluate the performance of RLE-MRC. We also use ns-3 to evaluate how the performance of data transmission and network robustness are changed by using RLE-MRC. Numerical examples show that the low energy consumption and the fast failure recovery can be achieved while maintaining network robustness by using RLE-MRC.

  • Reducing CPU Power Consumption with Device Utilization-Aware DVFS for Low-Latency SSDs

    Satoshi IMAMURA  Eiji YOSHIDA  Kazuichi OE  

     
    PAPER-Computer System

      Pubricized:
    2019/06/18
      Vol:
    E102-D No:9
      Page(s):
    1740-1749

    Emerging solid state drives (SSDs) based on a next-generation memory technology have been recently released in market. In this work, we call them low-latency SSDs because the device latency of them is an order of magnitude lower than that of conventional NAND flash SSDs. Although low-latency SSDs can drastically reduce an I/O latency perceived by an application, the overhead of OS processing included in the I/O latency has become noticeable because of the very low device latency. Since the OS processing is executed on a CPU core, its operating frequency should be maximized for reducing the OS overhead. However, a higher core frequency causes the higher CPU power consumption during I/O accesses to low-latency SSDs. Therefore, we propose the device utilization-aware DVFS (DU-DVFS) technique that periodically monitors the utilization of a target block device and applies dynamic voltage and frequency scaling (DVFS) to CPU cores executing I/O-intensive processes only when the block device is fully utilized. In this case, DU-DVFS can reduce the CPU power consumption without hurting performance because the delay of OS processing incurred by decreasing the core frequency can be hidden. Our evaluation with 28 I/O-intensive workloads on a real server containing an Intel® Optane™ SSD demonstrates that DU-DVFS reduces the CPU power consumption by 41.4% on average (up to 53.8%) with a negligible performance degradation, compared to a standard DVFS governor on Linux. Moreover, the evaluation with multiprogrammed workloads composed of I/O-intensive and non-I/O-intensive programs shows that DU-DVFS is also effective for them because it can apply DVFS only to CPU cores executing I/O-intensive processes.

  • Improved Optical Amplification Efficiency by Using Turbo Cladding Pumping Scheme for Multicore Fiber Optical Networks Open Access

    Hitoshi TAKESHITA  Keiichi MATSUMOTO  Hiroshi HASEGAWA  Ken-ichi SATO  Emmanuel Le Taillandier de GABORY  

     
    PAPER-Fiber-Optic Transmission for Communications

      Pubricized:
    2019/01/24
      Vol:
    E102-B No:8
      Page(s):
    1579-1589

    We realize a multicore erbium-doped fiber amplifier (MC-EDFA) with 2dB optical gain improvement (average) by recycling the residual 0.98μm pump light from the MC-EDF output. Eight-channel per core wavelength division multiplexed (WDM) Nyquist PM-16QAM optical signal amplification is demonstrated over a 40-minute period. Furthermore, we demonstrate the proposed MC-EDFA's stability by using it to amplify a Nyquist PM-16QAM signal and evaluating the resulting Q-factor variation. We found that our scheme contributes to reducing the total power consumption of MC-EDFAs in spatial division multiplexing (SDM)/WDM networks by up to 33.5%.

  • On Locally Minimum and Strongest Assumption Generation Method for Component-Based Software Verification

    Hoang-Viet TRAN  Ngoc Hung PHAM  Viet Ha NGUYEN  

     
    PAPER

      Pubricized:
    2019/05/16
      Vol:
    E102-D No:8
      Page(s):
    1449-1461

    Since software becomes more complex during its life cycle, the verification cost becomes higher, especially for such methods which are using model checking in general and assume-guarantee reasoning in specific. To address the problem of reducing the assume-guarantee verification cost, this paper presents a method to generate locally minimum and strongest assumptions for verification of component-based software. For this purpose, we integrate a variant of membership queries answering technique to an algorithm which considers candidate assumptions that are smaller and stronger first, larger and weaker later. Because the algorithm stops as soon as it reaches a conclusive result, the generated assumptions are the locally minimum and strongest ones. The correctness proof of the proposed algorithm is also included in the paper. An implemented tool, test data, and experimental results are presented and discussed.

  • Clustering Method for Reduction of Area and Power Consumption on Post-Silicon Delay Tuning

    Kota MUROI  Hayato MASHIKO  Yukihide KOHIRA  

     
    PAPER

      Vol:
    E102-A No:7
      Page(s):
    894-903

    Due to progressing process technology, yield of chips is reduced by timing violation caused by delay variation of gates and wires in fabrication. Recently, post-silicon delay tuning, which inserts programmable delay elements (PDEs) into clock trees before the fabrication and adjusts the delays of the PDEs to recover the timing violation after the fabrication, is promising to improve the yield. Although post-silicon delay tuning improves the yield, it increases circuit area and power consumption since the PDEs are inserted. In this paper, a PDE structure is taken into consideration to reduce the circuit area and the power consumption. Moreover, a delay selection algorithm, and a clustering method, in which some PDEs are merged into a PDE and the PDE is inserted for multiple registers, are proposed to reduce the circuit area and the power consumption. In computational experiments, the proposed method reduced the circuit area and the power consumption in comparison with an existing method.

  • Optical QPSK Signal Quality Degradation due to Phase Error of Pump Light in Optical Parametric Phase-Sensitive Amplifier Repeaters

    Takeshi KIMURA  Yasuhiro OKAMURA  Atsushi TAKADA  

     
    PAPER-Fiber-Optic Transmission for Communications

      Pubricized:
    2018/10/10
      Vol:
    E102-B No:4
      Page(s):
    810-817

    The influence of pump phase error on phase-sensitive optical amplifier (PSA) repeaters and the waveform degradation due to chromatic dispersion and fiber nonlinearities in the optical multi-relay transmission of quadrature phase-shift keying phase-conjugated twin waves are considered theoretically. First, the influence of noise from the pump phase error, optical local oscillator, receiver, and the amplified spontaneous-emission (ASE) in PSA repeaters is investigated with the assumption that transmission fibers are linear lossy channels. The bit-error rate (BER) is estimated as a function of the signal-to-noise ratio, and the relationship between the number of transmission relays and the fiber launch power is clarified. Waveform degradation due to chromatic dispersion and the optical fiber nonlinearities in transmission fibers are investigated with the noiseless condition, and the maximum repeatable number as a function of the fiber launch power is calculated. Finally, we show the relationship among the maximum repeatable number, standard deviation of pump phase error in PSA repeaters, and the fiber launch power to clarify the optimum transmission condition with consideration of the noise and the waveform degradation.

  • Statistical-Mechanics Approach to Theoretical Analysis of the FXLMS Algorithm Open Access

    Seiji MIYOSHI  Yoshinobu KAJIKAWA  

     
    PAPER-Digital Signal Processing

      Vol:
    E101-A No:12
      Page(s):
    2419-2433

    We analyze the behaviors of the FXLMS algorithm using a statistical-mechanical method. The cross-correlation between a primary path and an adaptive filter and the autocorrelation of the adaptive filter are treated as macroscopic variables. We obtain simultaneous differential equations that describe the dynamical behaviors of the macroscopic variables under the condition that the tapped-delay line is sufficiently long. The obtained equations are deterministic and closed-form. We analytically solve the equations to obtain the correlations and finally compute the mean-square error. The obtained theory can quantitatively predict the behaviors of computer simulations including the cases of both not only white but also nonwhite reference signals. The theory also gives the upper limit of the step size in the FXLMS algorithm.

  • A Load Balancing Algorithm for Layer 2 Routing in IEEE 802.15.10

    Takuya HABARA  Keiichi MIZUTANI  Hiroshi HARADA  

     
    PAPER

      Pubricized:
    2018/04/13
      Vol:
    E101-B No:10
      Page(s):
    2131-2141

    In this paper, we propose an IEEE 802.15.10-based layer 2 routing (L2R) method with a load balancing algorithm; the proposal considers fairness in terms of the cumulative number of sending packets at each terminal to resolve the packet concentration problem for the IEEE 802.15.4-based low-power consumption wireless smart utility network (Wi-SUN) systems. The proposal uses the accumulated sending times of each terminal as a weight in calculating each path quality metric (PQM) to decide multi-hopping routes with load balancing in the network. Computer simulation of the mesh network with 256 terminals shows that the proposed routing method can improve the maximum sending ratio (MSR), defined as the ratio of the maximum sending times to the average number of sending times in the network, by 56% with no degradation of the end-to-end communication success ratio (E2E-SR). The proposed algorithm is also experimentally evaluated by using actual Wi-SUN modules. The proposed routing method also improves the MSR by 84% with 70 terminals. Computer simulations and experiments prove the effectiveness of the proposed method in terms of load balancing.

  • A 920MHz Lumped-Element Wilkinson Power Divider Utilizing LC-Ladder Circuits

    Tadashi KAWAI  Kensuke NAGANO  Akira ENOKIHARA  

     
    BRIEF PAPER

      Vol:
    E101-C No:10
      Page(s):
    801-804

    This paper presents a lumped-element Wilkinson power divider (WPD) using LC-ladder circuits composed of a capacitor and an inductor, and a series LR/CR circuit. The proposed WPD has only seven elements. As a result of designing the divider based on an even/odd mode analysis technique, we theoretically show that broadband WPDs can be realized compared to lumped-element WPDs composed of Π/T-networks and an isolation resistor. By designing the WPD to match at two operating frequencies, the relative bandwidth of about 42% can be obtained. This value is larger than that of the conventional WPD based on the distributed circuit theory. Electromagnetic simulation and experiment are performed to verify the design procedure for the lumped-element WPD designed at a center frequency of 922.5MHz, and good agreement with both is shown.

  • Energy Efficient Resource Selection and Allocation Strategy for Virtual Machine Consolidation in Cloud Datacenters

    Yaohui CHANG  Chunhua GU  Fei LUO  Guisheng FAN  Wenhao FU  

     
    PAPER-Fundamentals of Information Systems

      Pubricized:
    2018/03/30
      Vol:
    E101-D No:7
      Page(s):
    1816-1827

    Virtual Machine Placement (VMP) plays an important role in ensuring efficient resource provisioning of physical machines (PMs) and energy efficiency in Infrastructure as a Service (IaaS) data centers. Efficient server consolidation assisted by virtual machine (VM) migration can promote the utilization level of the servers and switch the idle PMs to sleep mode to save energy. The trade-off between energy and performance is difficult, because consolidation may cause performance degradation, even service level agreement (SLA) violations. A novel residual available capacity (RAC) resource model is proposed to resolve the VM selection and allocation problem from the cloud service provider (CSP) perspective. Furthermore, a novel heuristic VM selection policy for server consolidation, named Minimized Square Root available Resource (MISR) is proposed. Meanwhile, an efficient VM allocation policy, named Balanced Selection (BS) based on RAC is proposed. The effectiveness validation of the BS-MISR combination is conducted on CloudSim with real workloads from the CoMon project. Evaluation results of experiments show that the proposed combinationBS-MISR can significantly reduce the energy consumption, with an average of 36.35% compared to the Local Regression and Minimum Migration Time (LR-MMT) combination policy. Moreover, the BS-MISR ensures a reasonable level of SLAs compared to the benchmarks.

  • A Low-Power Radiation-Hardened Flip-Flop with Stacked Transistors in a 65 nm FDSOI Process

    Haruki MARUOKA  Masashi HIFUMI  Jun FURUTA  Kazutoshi KOBAYASHI  

     
    PAPER

      Vol:
    E101-C No:4
      Page(s):
    273-280

    We propose a radiation-hardened Flip-Flop (FF) with stacked transistors based on the Adaptive Coupling Flip-Flop (ACFF) with low power consumption in a 65 nm FDSOI process. The slave latch in ACFF is much weaker against soft errors than the master latch. We design several FFs with stacked transistors in the master or slave latches to mitigate soft errors. We investigate radiation hardness of the proposed FFs by α particle and neutron irradiation tests. The proposed FFs have higher radiation hardness than a conventional DFF and ACFF. Neutron irradiation and α particle tests revealed no error in the proposed AC Slave-Stacked FF (AC_SS FF) which has stacked transistors only in the slave latch. We also investigate radiation hardness of the proposed FFs by heavy ion irradiation. The proposed FFs maintain higher radiation hardness up to 40 MeV-cm2/mg than the conventional DFF. Stacked inverters become more sensitive to soft errors by increasing tilt angles. AC_SS FF achieves higher radiation hardness than ACFF with the performance equivalent to that of ACFF.

  • Development of an Evaluation Platform and Performance Experimentation of Flex Power FPGA Device

    Toshihiro KATASHITA  Masakazu HIOKI  Yohei HORI  Hanpei KOIKE  

     
    PAPER-Device and Architecture

      Pubricized:
    2017/11/17
      Vol:
    E101-D No:2
      Page(s):
    303-313

    Field-programmable gate array (FPGA) devices are applied for accelerating specific calculations and reducing power consumption in a wide range of areas. One of the challenges associated with FPGAs is reducing static power for enforcing their power effectiveness. We propose a method involving fine-grained reconfiguration of body biases of logic and net resources to reduce the static power of FPGA devices. In addition, we develop an FPGA device called Flex Power FPGA with SOTB technology and demonstrate its power reduction function with a 32-bit counter circuit. In this paper, we describe the construction of an experimental platform to precisely evaluate power consumption and the maximum operating frequency of the device under various operating voltages and body biases with various practical circuits. Using the abovementioned platform, we evaluate the Flex Power FPGA chip at operating voltages of 0.5-1.0 V and at body biases of 0.0-0.5 V. In the evaluation, we use a 32-bit adder, 16-bit multiplier, and an SBOX circuit for AES cryptography. We operate the chip virtually with uniformed body bias voltage to drive all of the logic resources with the same threshold voltage. We demonstrate the advantage of the Flex Power FPGA by comparing its performance with non-reconfigurable biasing.

  • A Novel Failure Detection Circuit for SUMPLE Using Variability Index

    Leiou WANG  Donghui WANG  Chengpeng HAO  

     
    BRIEF PAPER-Electronic Circuits

      Vol:
    E101-C No:2
      Page(s):
    139-142

    SUMPLE, one of important signal combining approaches, its combining loss increases when a sensor in an array fails. A novel failure detection circuit for SUMPLE is proposed by using variability index. This circuit can effectively judge whether a sensor fails or not. Simulation results validate its effectiveness with respect to the existing algorithms.

  • Universal Scoring Function Based on Bias Equalizer for Bias-Based Fingerprinting Codes

    Minoru KURIBAYASHI  Nobuo FUNABIKI  

     
    PAPER

      Vol:
    E101-A No:1
      Page(s):
    119-128

    The study of universal detector for fingerprinting code is strongly dependent on the design of scoring function. The optimal detector is known as MAP detector that calculates an optimal correlation score for a given single user's codeword. However, the knowledge about the number of colluders and their collusion strategy are inevitable. In this paper, we propose a new scoring function that equalizes the bias between symbols of codeword, which is called bias equalizer. We further investigate an efficient scoring function based on the bias equalizer under the relaxed marking assumption such that white Gaussian noise is added to a pirated codeword. The performance is compared with the MAP detector as well as some state-of-the-art scoring functions.

  • Low Cost and Fault Tolerant Parallel Computing Using Stochastic Two-Dimensional Finite State Machine

    Xuechun WANG  Yuan JI  Wendong CHEN  Feng RAN  Aiying GUO  

     
    LETTER-Architecture

      Pubricized:
    2017/07/18
      Vol:
    E100-D No:12
      Page(s):
    2866-2870

    Hardware implementation of neural networks usually have high computational complexity that increase exponentially with the size of a circuit, leading to more uncertain and unreliable circuit performance. This letter presents a novel Radial Basis Function (RBF) neural network based on parallel fault tolerant stochastic computing, in which number is converted from deterministic domain to probabilistic domain. The Gaussian RBF for middle layer neuron is implemented using stochastic structure that reduce the hardware resources significantly. Our experimental results from two pattern recognition tests (the Thomas gestures and the MIT faces) show that the stochastic design is capable to maintain equivalent performance when the stream length set to 10Kbits. The stochastic hidden neuron uses only 1.2% hardware resource compared with the CORDIC algorithm. Furthermore, the proposed algorithm is very flexible in design tradeoff between computing accuracy, power consumption and chip area.

  • Energy-Performance Modeling of Speculative Checkpointing for Exascale Systems

    Muhammad ALFIAN AMRIZAL  Atsuya UNO  Yukinori SATO  Hiroyuki TAKIZAWA  Hiroaki KOBAYASHI  

     
    PAPER-High performance computing

      Pubricized:
    2017/07/14
      Vol:
    E100-D No:12
      Page(s):
    2749-2760

    Coordinated checkpointing is a widely-used checkpoint/restart protocol for fault-tolerance in large-scale HPC systems. However, this protocol will involve massive amounts of I/O concentration, resulting in considerably high checkpoint overhead and high energy consumption. This paper focuses on speculative checkpointing, a CPR mechanism that allows for temporal distribution of checkpointings to avoid I/O concentration. We propose execution time and energy models for speculative checkpointing, and investigate energy-performance characteristics when speculative checkpointing is adopted in exascale systems. Using these models, we study the benefit of speculative checkpointing over coordinated checkpointing under various realistic scenarios for exascale HPC systems. We show that, compared to coordinated checkpointing, speculative checkpointing can achieve up to a 11% energy reduction at the cost of a relatively-small increase in the execution time. In addition, a significant energy-performance trade-off is expected when the system scale exceeds 1.2 million nodes.

  • Power-Effective File Layout Based on Large Scale Data-Intensive Application in Virtualized Environment

    Shunsuke YAGAI  Masato OGUCHI  Miyuki NAKANO  Saneyasu YAMAGUCHI  

     
    PAPER-Database system

      Pubricized:
    2017/07/14
      Vol:
    E100-D No:12
      Page(s):
    2761-2770

    In data centers, large numbers of computers are run simultaneously. These computers consume an enormous amount of energy. Several challenges related to this issue have been published. An energy-efficient storage management method that cooperates with applications was one effective approach. In this method, data and storage devices are managed using application support and the power consumption of storage devices is significantly decreased. However, existing studies do not take the virtualized environment into account. Recently, many data-intensive applications have been run in a virtualized environment, such as the cloud computing environment. In this paper, we focus on a virtualized environment wherein multiple virtual machines run on a physical computer and a data intensive application runs on each virtual machine. We discuss a method for reducing storage device power consumption using application support. First, we propose two storage management methods using application information. One method optimizes the inter-HDD file layout. This method removes frequently-accessed files from a certain HDD and switches the HDD to power-off mode. To balance loads and reduce seek distances, this method separates a heavily accessed file and consolidates files in a virtual machine with low access frequency. The other method optimizes the intra-HDD file layout, in addition to performing inter-HDD optimization. This method places frequently accessed files near each other. Second, we present our experimental results and demonstrate that the proposed methods can create sufficiently long HDD access intervals that power-off mode can be used, and thereby, reduce the power consumption of storage devices.

  • Input and Output Privacy-Preserving Linear Regression

    Yoshinori AONO  Takuya HAYASHI  Le Trieu PHONG  Lihua WANG  

     
    PAPER-Privacy, anonymity, and fundamental theory

      Pubricized:
    2017/07/21
      Vol:
    E100-D No:10
      Page(s):
    2339-2347

    We build a privacy-preserving system of linear regression protecting both input data secrecy and output privacy. Our system achieves those goals simultaneously via a novel combination of homomorphic encryption and differential privacy dedicated to linear regression and its variants (ridge, LASSO). Our system is proved scalable over cloud servers, and its efficiency is extensively checked by careful experiments.

  • High Resolution Mixed-Domain Delta-Sigma Time-to-Digital Converter Using Compensated Charge-Pump Integrator

    Anugerah FIRDAUZI  Zule XU  Masaya MIYAHARA  Akira MATSUZAWA  

     
    PAPER

      Vol:
    E100-C No:6
      Page(s):
    548-559

    This paper presents a high resolution mixed-domain Delta-Sigma (ΔΣ) time-to-digital converter (TDC) which utilizes a charge pump as time-to-voltage converter, a low resolution SAR ADC as quantizer, and a pair of delay-line digital-to-time converters to form a negative feedback. By never resetting the sampling capacitor of the charge-pump, an integrator is realized and first order noise shaping can be achieved. However, since the integrating capacitor is never cleared, this circuit is prone to charge-sharing issue during input sampling which can degrade TDC's performance. To deal with this issue, a compensation circuit consists of another pair of sampling capacitors and charge-pumps with doubled current is proposed. This TDC is designed and simulated in 65 nm CMOS technology and can operate at 200 MHz sampling frequency. For 2.5 MHz bandwidth, simulation shows that this TDC achieves 66.4 dB SNDR and 295 fsrms integrated noise for ±1 ns input range. The proposed TDC consumes 1.78 mW power that translates to FoM of 208 fJ/conv.

41-60hit(318hit)