The search functionality is under construction.

Keyword Search Result

[Keyword] memory cell(15hit)

1-15hit
  • A SOI Multi-VDD Dual-Port SRAM Macro for Serial Access Applications

    Nobutaro SHIBATA  Mayumi WATANABE  Takako ISHIHARA  

     
    PAPER-Integrated Electronics

      Vol:
    E100-C No:11
      Page(s):
    1061-1068

    Multiport SRAMs are frequently installed in network and/or telecommunication VLSIs to implement smart functions. This paper presents a high speed and low-power dual-port (i.e., 1W+1R two-port) SRAM macro customized for serial access operations. To reduce the wasted power dissipation due to subthreshold leakage currents, the supply voltage for 10T memory cells is lowered to 1 V and a power switch is prepared for every 64 word drivers. The switch is activated with look-ahead decoder-segment activation logic, so there is no penalty when selecting a wordline. The data I/O circuitry with a new column-based configuration makes it possible to hide the bitline precharge operation with the sensing operation in the read cycle ahead of it; that is, we have successfully reduced the read latency by a half clock cycle, resulting in a pure two-stage pipeline. The SRAM macro installed in a 4K-entry × 33-bit FIFO memory, fabricated with a 0.3-µm fully-depleted-SOI CMOS process, achieved a 500-MHz operation in the typical conditions of 2- and 1-V power supplies, and 25°C. The power consumption during the standby time was less than 1.0 mW, and that at a practical operating frequency of 400 MHz was in a range of 47-57 mW, depending on the bit-stream data pattern.

  • A Third-Order Multibit Switched-Current Delta-Sigma Modulator with Switched-Capacitor Flash ADC and IDWA

    Guo-Ming SUNG  Leenendra Chowdary GUNNAM  Wen-Sheng LIN  Ying-Tzu LAI  

     
    PAPER-Electronic Circuits

      Vol:
    E100-C No:8
      Page(s):
    684-693

    This work develops a third-order multibit switched-current (SI) delta-sigma modulator (DSM) with a four-bit switched-capacitor (SC) flash analog-to-digital converter (ADC) and an incremental data weighted averaging circuit (IDWA), which is fabricated using 0.18µm 1P6M CMOS technology. In the proposed DSM, a 4-bit SC flash ADC is used to improve its resolution, and an IDWA is used to reduce the nonlinearity of digital-to-analog converter (DAC) by moving the quantization noise out of the signal band by first-order noise shaping. Additionally, the proposed differential sample-and-hold circuit (SH) exhibits low input impedance with feedback and width-length adjustment in the SI feedback memory cell (FMC) to increase the conversion rate. A coupled differential replicate (CDR) common-mode feedforward circuit (CMFF) is used to compensate for the mirror error that is caused by the current mirror. Measurements indicate that the signal-to-noise ratio (SNR), dynamic range (DR), effective number of bits (ENOB), power consumption, and chip area are 64.1 dB, 64.4 dB, 10.36 bits, 18.82 mW, and 0.45 × 0.67 mm2 (without I/O pad), respectively, with a bandwidth of 20 kHz, an oversampling ratio (OSR) of 256, a sampling frequency of 10.24 MHz, and a supply voltage of 1.8 V.

  • A SOI Cache-Tag Memory with Dual-Rail Wordline Scheme

    Nobutaro SHIBATA  Takako ISHIHARA  

     
    PAPER-Integrated Electronics

      Vol:
    E99-C No:2
      Page(s):
    316-330

    Cache memories are the major application of high-speed SRAMs, and they are frequently installed in high performance logic VLSIs including microprocessors. This paper presents a 4-way set-associative, SOI cache-tag memory. To obtain higher operating speed with less power dissipation, we devised an I/O-separated memory cell with a dual-rail wordline, which is used to transmit complementary selection signals. The address decoding delay was shortened using CMOS dual-rail logic. To enhance the maximum operating frequency, bitline's recovery operations after writing data were eliminated using a memory array configuration without half-selected cells. Moreover, conventional, sensitive but slow differential amplifiers were successfully removed from the data I/O circuitry with a hierarchical bitline scheme. As regards the stored data management, we devised a new hardware-oriented LRU-data replacement algorithm on the basis of 6-bit directed graph. With the experimental results obtained with a test chip fabricated with a 0.25-µm CMOS/SIMOX process, the core of the cache-tag memory with a 1024-set configuration can achieve a 1.5-ns address access time under typical conditions of a 2-V power supply and 25°C. The power dissipation during standby was less than 14 µW, and that at the 500-MHz operation was 13-83 mW, depending on the bit-stream data pattern.

  • Analytical Model of Nano-Electromechanical (NEM) Nonvolatile Memory Cells

    Boram HAN  Woo Young CHOI  

     
    BRIEF PAPER

      Vol:
    E95-C No:5
      Page(s):
    914-916

    The fringe field effects of nano-electromechanical (NEM) nonvolatile memory cells have been investigated analytically for the accurate evaluation of NEM memory cells. As the beam width is scaled down, fringe field effect becomes more severe. It has been observed that pull-in, release and hysteresis voltage decrease more than our prediction. Also, the fringe field on cell characteristics has been discussed.

  • Analytical Model of Static Noise Margin in CMOS SRAM for Variation Consideration

    Hirofumi SHINOHARA  Koji NII  Hidetoshi ONODERA  

     
    PAPER-Electronic Circuits

      Vol:
    E91-C No:9
      Page(s):
    1488-1500

    An analytical model of the static noise margin (SNM) for a 6T CMOS SRAM suitable for use in investigating the effect of random Vth variation is derived. A three-step approach using characteristic points of the half cell inverter's transfer curve is developed. Parameters of each transistor are handled individually so that their sensitivities are calculable. A new MOSFET model in the moderate inversion is proposed to maintain accuracy, even in the low VDD condition. Correlation between the proposed model calculations and circuit simulations was verified using a 90 nm CMOS LSTP device. Closely correlated dependency on parameters such as Vth, the W ratio, and VDD were obtained. Maximum error measured in the VDD range of 0.6-1.6 V was 16 mV (7% of typical SNM). Finally, guidelines to obtain large SNM are discussed in this paper.

  • Low-Power Switched Current Memory Cell with CMOS-Type Configuration

    Masashi KATO  Nobuyuki TERADA  Hirofumi OHATA  Eisuke ARAI  

     
    LETTER-Electronic Circuits

      Vol:
    E91-C No:1
      Page(s):
    120-121

    This letter presents a low-power switched current (SI) memory cell with CMOS-type configuration. By combining nMOS and pMOS in the SI memory cell and using a polarity discrimination circuit, we design a CMOS-type SI memory cell which eliminates the quiescent current in the SI memory cell. The simulation result shows that the CMOS-type SI memory cell consumes less power than the conventional class-AB memory cell.

  • CMOS Implementation of a Multiple-Valued Memory Cell Using -Shaped Negative-Resistance Devices

    Katsutoshi SAEKI  Heisuke NAKASHIMA  Yoshifumi SEKINE  

     
    PAPER

      Vol:
    E87-A No:4
      Page(s):
    801-806

    In this paper, we propose the CMOS implementation of a multiple-valued memory cell using -shaped negative-resistance devices. We first propose the construction of a multiple-stable circuit that consists of -shaped negative-resistance devices from four enhancement-mode MOSFETs without a floating voltage source, and connect this in parallel with a unit circuit. It is shown that the movement of -shaped negative-resistance characteristics in the direction of the voltage axis is due to voltage sources. Furthermore, we propose the construction of a multiple-valued memory cell using a multiple-stable circuit. It is shown that it is possible to write and hold data. If the power supply is switched on, it has a feature which enables operation without any electric charge leakage. It is possible, by connecting -shaped negative-resistance devices in parallel, to easily increase the number of multiple values.

  • Present and Future of Magnetic RAM Technology

    Koichiro INOMATA  

     
    INVITED PAPER-MRAM

      Vol:
    E84-C No:6
      Page(s):
    740-746

    Magnetic random access memory (MRAM) possesses the attractive properties of non-volatility, radiation hardness, nondestructive readout, low voltage, high access speed, unlimited read and write endurance and high density. MRAM technology is described for the devices using giant magnetoresistance (GMR) and tunneling magnetoresistance (TMR) materials in this paper. The TMR type MRAM architectures using ferromagnetic tunneling junctions (MTJ) are more attractive for mainstream RAM applications than the GMR type, because the signal of the TMR type is larger than that of the GMR type. A MRAM device with an MTJ plus MOS transistor switch architecture, which can provide large signal-to noise ratio, is detailed. A design of the MTJ element is discussed and the requirements for the junction resistance and the TMR needed for the memory device are demonstrated based on the simple signal voltage calculations. The TMR significantly decreases with increasing bias voltage, which leads to the reduction of the signal voltage for the actual MRAM. A ferromagnetic double tunneling junction is proposed for the high density MRAM application demanding large signal voltage, because of the smaller degradation of the TMR for the bias voltage, compared with that of the conventional single junctions. Recent trials of MRAM fabrication are introduced, which demonstrates high-speed access time. Finally, challenges for the higher bit density MRAM above Gb are discussed, and it is noticed that higher signal voltage, lower power consumption for writing and novel cell designs are needed for the achievement.

  • Current-Sensed SRAM Techniques for Megabit-Class Integration--Progress in Operating Frequency by Using Hidden Writing-Recovery Architecture--

    Nobutaro SHIBATA  

     
    PAPER-Integrated Electronics

      Vol:
    E82-C No:11
      Page(s):
    2056-2064

    A new data-I/O scheme with a hidden writing-recovery architecture has been developed for the megabit-class high operating frequency SRAMs. Read-out nodes in the memory cell are separated from bitline-connected writing nodes so as not to delay sensing initiation due to uncompleted bitline recovery. The data stored in a memory cell are read-out by sensing the differential current signal on a double-rail virtual-GND line along bitlines. Each pair of virtual-GND lines is imaginarily short-circuited by a sense amplifier, so that the read-out circuitry would have large immunity against virtual-GND-line noises. The critical noise level associated with data destruction is analyzed at various supply voltages. The virtual-GND-line-sensed memory cell with the squashed topology, the swing-suppression-type low-power writing circuitry, and the current-sense amplifier with extra negative feedback loops, --which are used in the data-I/O scheme are also mentioned. Assuming a sub array in megabit-class SRAMs, 4 K-words 6 -bits test chip was fabricated with a 0.5-µm CMOS process. The SRAM achieved 180-MHz operation at a typical 3.3-V, 25 condition. The power dissipation at the practical operating frequency of 133-MHz was 50-mW.

  • A New Simple Method for Extracting the Capacitance Coupling Coefficients of Sub-0.5-µm Flash Memory Cells

    Keiichi HARAGUCHI  Hitoshi KUME  Masahiro USHIYAMA  Makoto OHKURA  

     
    PAPER

      Vol:
    E82-C No:4
      Page(s):
    602-606

    A new simple method for extracting the capacitance coupling coefficients of sub-0.5-µm flash memory cells is proposed. Different from the previously proposed methods, this method is not affected by a dopant profile of source region because a band-to-band tunneling current from the interface between the drain and the substrate is probed. Use of a reference device eliminates the necessity to make assumptions concerning the electron transport mechanism. Comparison with the other methods shows that the proposed method is simple and accurate.

  • Megabit-Class Size-Configurable 250-MHz SRAM Macrocells with a Squashed-Memory-Cell Architecture

    Nobutaro SHIBATA  Hiroshi INOKAWA  Keiichiro TOKUNAGA  Soichi OHTA  

     
    PAPER-Integrated Electronics

      Vol:
    E82-C No:1
      Page(s):
    94-104

    High-speed and low-power techniques are described for megabit-class size-configurable CMOS SRAM macrocells. To shorten the design turn-around-time, the methodology of abutting nine kinds of leaf cells is employed; two-level via-hole programming and the array-address decoder embedded in each control leaf cell present a divided-memory-array structure. A new squashed-memory-cell architecture using trench isolation and stacked-via-holes is proposed to reduce access times and power dissipation. To shorten the time for writing data, per-bitline architecture is proposed, in which every bitline has a personal writing driver. Also, read-out circuitry using a current-sense-type two-stage sense amplifier is designed. The effect of the non-multiplexed bitline scheme for fast read-out is shown in a simulation result. To reduce the noise from the second- to first-stage amplifier due to a feedback loop, current paths are separated so as not to cause common impedance. To confirm the techniques described in this paper, a 1-Mb SRAM test chip was fabricated with an advanced 0.35-µm CMOS/bulk process. The SRAM has demonstrated 250-MHz operation with a 2.5-V typical power supply. Also, 100-mW power dissipation was obtained at a practical operating frequency of 150-MHz.

  • A Clock-Feedthrough Compensated Switched-Current Memory Cell

    Hyeong-Woo CHA  Satomi OGAWA  Kenzo WATANABE  

     
    LETTER

      Vol:
    E80-A No:6
      Page(s):
    1069-1071

    A clock-feedthrough (CFT) compensation technique using a dummy cell is valid when the CFT current from a switched-current (SI) memory cell is signal-independent. Based on this idea, a SI dummy cell appropriate for the S2I cell is developed. Simulations show that the CFT rejection ratio as high as 60dB is attainable over the temperature range from -30 to 80 with this architecture. The CFT-compensated SI cell proposed here is, therefore, quite usuful for high-accuracy, current-mode signal processing.

  • A 250 mV Bit-Line Swing Scheme for 1-V Operating Gigabit Scale DRAMs

    Tsuneo INABA  Daisaburo TAKASHIMA  Yukihito OOWAKI  Tohru OZAKI  Shigeyoshi WATANABE  Takashi OHSAWA  Kazunori OHUCHI  Hiroyuki TANGO  

     
    PAPER

      Vol:
    E79-C No:12
      Page(s):
    1699-1706

    This paper proposes a small 1/4Vcc bit-line swing scheme and a related sense amplifier scheme for low power 1 V operating DRAM. Using the proposed small bit-line swing scheme, the stress bias of memory cell transistor and capacitor is reduced to half that of the conventional DRAM, resulting in improvement of device reliability. The proposed sense amplifier scheme achieves high speed and stable sensing/restoring operation at 250mV bit-line swing, which is much smaller than threshold voltage. The proposed scheme reduces the total power dissipation of bit-line sensing/restoring operation to 40% of the conventional one. This paper also proposes a small 4F2 size memory cell and a new twisted bit-line scheme. The array noise is reduced to 8.6% of the conventional DRAM.

  • A Clock-Feedthrough and Offset Compensated Fully-Differential Switched-Current Circuit

    Hyeong-Woo CHA  Kenzo WATANABE  

     
    LETTER

      Vol:
    E78-A No:11
      Page(s):
    1531-1533

    A fully-differential switched-current (SI) circuit provided with clock-feedthrough (CFT) and common mode rejection and offset compensation schemes is described. Different from a conventional SI memory cell, it takes the difference between two differential inputs to deliver the balanced differential currents. Transistor level simulations and error analyses are given to demonstrate its performance.

  • A Capacitor over Bit-Line (COB) Stacked Capacitor Cell Using Local Interconnect Layer for 64 MbDRAMs

    Naoki KASAI  Masato SAKAO  Toshiyuki ISHIJIMA  Eiji IKAWA  Hirohito WATANABE  Toshio TAKESHIMA  Nobuhiro TANABE  Kazuo TERADA  Takamaro KIKKAWA  

     
    PAPER-Device Technology

      Vol:
    E76-C No:4
      Page(s):
    548-555

    A new capacitor over bit-line (COB) stacked capacitor memory cell was developed using a local interconnect poly-silicon layer to arrange a capacitor contact between bit-lines. This memory cell enables usable capacitor area to increase and capacitor contact hole depth to decrease. The hemispherical grain (HSG) silicon, whose effective surface area is twice that of ordinary poly-silicon, was utilized for the storage node to increase the storage capacitance without increasing the storage node height. The feasibility of achieving a 1.8 µm2 memory cell with 30 fF storage capacitance using a 7 nm-SiO2-equivalent dielectric film and a 0.5 µm-high HSG storage node has been verified for 64 MbDRAMs by a test memory device using a 0.4 µm CMOS process.