The search functionality is under construction.

Author Search Result

[Author] Hiroki ISHIKURO(11hit)

1-11hit
  • A 4-Gbps Quasi-Millimeter-Wave Transmitter in 65 nm CMOS and a Fast Carrier and Symbol Timing Recovery Scheme

    Vishal V. KULKARNI  Hiroki ISHIKURO  Tadahiro KURODA  

     
    PAPER-Integrated Electronics

      Vol:
    E93-C No:1
      Page(s):
    120-127

    A CMOS wireless transceiver operating in the 14-18 GHz range is proposed. The receiver uses direct conversion architecture for demodulation with a fast carrier and symbol timing recovery scheme. The transmitter uses a PLL and an up-conversion mixer to generate BPSK modulated signal. A ring oscillator is used in the PLL to make faster switching for burst transmission obtaining high speed low power operation. The transceiver operation has been verified by system simulation while the transmitter test-chip was fabricated in 65 nm CMOS technology and verified with measured results. The transmitter generates a bi-phase modulated signal with a center frequency of 16 GHz at a maximum data rate of 4 Gb/s and consumes 61 mW of power. To the best knowledge of authors, this is lowest power consumption among the reported transmitters that operate over 1 Gb/s range. The transceiver is proposed for a target communication distance of 10 cm.

  • A 4–10 bit, 0.4–1 V Power Supply, Power Scalable Asynchronous SAR-ADC in 40 nm-CMOS with Wide Supply Voltage Range SAR Controller

    Akira SHIKATA  Ryota SEKIMOTO  Kentaro YOSHIOKA  Tadahiro KURODA  Hiroki ISHIKURO  

     
    PAPER

      Vol:
    E96-A No:2
      Page(s):
    443-452

    This paper presents a wide range in supply voltage, resolution, and sampling rate asynchronous successive approximation register (SAR) analog-to-digital converter (ADC). The proposed differential flip-flop in SAR logic and high efficiency wide range delay element extend the flexibility of speed and resolution tradeoff. The ADC fabricated in 40 nm CMOS process covers 4–10 bit resolution and 0.4–1 V power supply range. The ADC achieved 49.8 dB SNDR and the peak FoM of 3.4 fJ/conv. with 160 kS/sec at 0.4 V single power supply voltage. At 10 bit mode and 1 V operation, up to 10 MS/s, the FoM is below 10 fJ/conv. while keeping ENOB of 8.7 bit.

  • 6 W/25 mm2 Wireless Power Transmission for Non-contact Wafer-Level Testing

    Andrzej RADECKI  Hayun CHUNG  Yoichi YOSHIDA  Noriyuki MIURA  Tsunaaki SHIDEI  Hiroki ISHIKURO  Tadahiro KURODA  

     
    PAPER

      Vol:
    E95-C No:4
      Page(s):
    668-676

    Wafer-level testing is a well established solution for detecting manufacturing errors and removing non-functional devices early in the fabrication process. Recently this technique has been facing a number of challenges, resulting from increased complexity of devices under test, larger number and higher density of pads or bumps, application of mechanically fragile materials, such as low-k dielectrics, and ever developing packaging technologies. Most of these difficulties originate from the use of mechanical probes, as they limit testing speed, impose performance limitations and add reliability issues. Earlier work focused on relaxing these constraints by removing mechanical probes for data transmission and DC signal measurement and replacing them with non-contact interfaces. In this paper we extend this concept by adding a capability of transferring power wirelessly, enabling non-contact wafer-level testing. In addition to further improvements in the performance and reliability, this solution enables new testing scenarios such as probing wafers from their backside. The proposed system achieves 6 W/25 mm2 power transfer density over a distance of up to 0.32 mm, making it suitable for non-contact wafer-level testing of medium performance CMOS integrated circuits.

  • Constant Magnetic Field Scaling in Inductive-Coupling Data Link

    Daisuke MIZOGUCHI  Noriyuki MIURA  Hiroki ISHIKURO  Tadahiro KURODA  

     
    PAPER-Electronic Circuits

      Vol:
    E91-C No:2
      Page(s):
    200-205

    A wireless transceiver utilizing inductive coupling has been proposed for communication between chips in system in a package. This transceiver can achieve high-speed communication by using two-dimensional channel arrays. To increase the total bandwidth in the channel arrays, the density of the transceiver should be improved, which means that the inductor size should be scaled down. This paper discusses the scaling theory based on a constant magnetic field rule. By decreasing the chip thickness with the process scaling of 1/α, the inductor size can be scaled to 1/α and the data rate can be increased by α. As a result, the number of aggregated channels can be increased by α2 and the aggregated data bandwidth can be increased by α3. The scaling theory is verified by simulations and experiments in 350, 250, 180, and 90 nm CMOS.

  • An Adaptive DAC Settling Waiting Time Optimized Ultra Low Voltage Asynchronous SAR ADC in 40 nm CMOS

    Ryota SEKIMOTO  Akira SHIKATA  Kentaro YOSHIOKA  Tadahiro KURODA  Hiroki ISHIKURO  

     
    PAPER

      Vol:
    E96-C No:6
      Page(s):
    820-827

    An ultra low power and low voltage successive-approximation-register (SAR) analog-to-digital converter (ADC) with timing optimized asynchronous clock generator is presented. By calibrating the delay amount of the clock generator, the DAC settling waiting time is adaptively optimized to counter the device mismatch. This technique improved the maximum sampling frequency by 40% keeping ENOB around 7-bit at 0.4 V analog and 0.7 V digital power supply voltage. The delay time dependency on power supply has small effect to the accuracy of conversion. Decreasing of supply voltage by 9% degrades ENOB only by 0.1-bit, and the proposed calibration can give delay margins for high voltage swing. The prototype ADC fabricated in 40 nm CMOS process achieved figure of merit (FoM) of 8.75-fJ/conversion-step with 2.048 MS/s at 0.6 V analog and 0.7 V digital power supply voltage. The ADC can operates from 50 S/s to 8 MS/s keeping ENOB over 7.5-bit.

  • A 12.5Gbps CDR with Differential to Common Converting Edge Detector for the Wired and Wireless Serial Link

    Kaoru KOHIRA  Hiroki ISHIKURO  

     
    PAPER-Electronic Circuits

      Vol:
    E99-C No:4
      Page(s):
    458-465

    This paper introduces low-power and small area injection-locking clock and data recovery circuit (CDR) for the wireline and wireless proximity link. By using signal conversion from differential input to common-mode output, the newly proposed edge detector can eliminate the usually used delay line and XOR-based edge detector, and provided low power operation and a small circuit area. The CDR test chip fabricated in a 65-nm CMOS process consumes 30mW from a 1.2- V supply at 12.5Gbps. The fabricated CDR achieved a BER lower than 10-12 and the recovered clock had an rms jitter of 0.87ps. The CDR area is 0.165mm2.

  • High-Frequency Precise Characterization of Intrinsic FinFET Channel

    Hideo SAKAI  Shinichi O'UCHI  Takashi MATSUKAWA  Kazuhiko ENDO  Yongxun LIU  Junichi TSUKADA  Yuki ISHIKAWA  Tadashi NAKAGAWA  Toshihiro SEKIGAWA  Hanpei KOIKE  Kunihiro SAKAMOTO  Meishoku MASAHARA  Hiroki ISHIKURO  

     
    PAPER-Semiconductor Materials and Devices

      Vol:
    E95-C No:4
      Page(s):
    752-760

    This paper presents a precise characterization of high-frequency characteristics of intrinsic channel of FinFET. For the de-embedding of the parasitics attached to the source, drain and gate terminals, it proposes special calibration patterns which can place the reference surface just beside the intrinsic part of the FinFET. It compares the measured S parameter data up to 40 GHz with the device simulation and shows good matching. The experimental data of the through pattern also confirms the accuracy of the de-embedded parasitics and extracted intrinsic part of FinFET.

  • A Full-CMOS Single Chip Bluetooth LSI with 1.5 MHz-IF Receiver and Direct Modulation Transmitter

    Fumitoshi HATORI  Hiroki ISHIKURO  Mototsugu HAMADA  Ken-ichi AGAWA  Shouhei KOUSAI  Hiroyuki KOBAYASHI  Duc Minh NGUYEN  

     
    PAPER

      Vol:
    E87-C No:4
      Page(s):
    556-562

    This paper describes a full-CMOS single-chip Bluetooth LSI fabricated using a 0.18 µm CMOS, triple-well, quad-metal technology. The chip integrates radio and baseband, which is compliant with Bluetooth Core Specification version 1.1. A direct modulation transmitter and a low-IF receiver architecture are employed for the low-power and low-cost implementation. To reduce the power consumption of the digital blocks, it uses a clock gating technique during the active modes and a power manager during the low power modes. The maximum power consumption is 75 mW for the transmission, 120 mW for the reception and 30 µW for the low power mode operation. These values are low enough for mobile applications. Sensitivity of -80 dBm has been achieved and the transmitter can deliver up to 4 dBm.

  • A 24 mW 5.7 Gbps Dual Frequency Conversion Demodulator for Impulse Radio with the First Sidelobe

    Kaoru KOHIRA  Naoki KITAZAWA  Hiroki ISHIKURO  

     
    PAPER

      Vol:
    E99-C No:10
      Page(s):
    1164-1173

    This paper presents a modulation scheme for impulse radio that uses the first sidelobe for transmitting a non-return-to-zero baseband signal and the implementation of a dual frequency conversion demodulator. The proposed modulation technique realizes two times higher frequency efficiency than that realized by binary phase-shift keying modulation and does not require an up-converter in the transmitter. The dual frequency conversion demodulator compensates for the spectrum distortion caused by the frequency response of the circuits and channel. The effect of frequency compensation is analytically studied. The fabricated demodulator test chip of 65 nm CMOS achieves clock and data recovery at 5.7 Gbps with a power consumption of 24 mW.

  • An Outside-Rail Opamp Design Relaxing Low-Voltage Constraint on Future Scaled Transistors

    Koichi ISHIDA  Atit TAMTRAKARN  Hiroki ISHIKURO  Makoto TAKAMIYA  Takayasu SAKURAI  

     
    PAPER-Analog and Communications

      Vol:
    E90-C No:4
      Page(s):
    786-792

    An opamp design with outside-rail output relaxing a low-voltage constraint on future scaled transistors is presented. The proposed opamp realizes 3-V output swing without gate-oxide stress although implemented in a 1.8-V 0.18-µm standard CMOS process. The 3-V-output operation is experimentally verified. The outside-rail output design with scaled transistors shows area advantage over un-scaled and inside-rail design while keeping signal-to-noise ratio and gain bandwidth constant. The chip area is estimated to be 47% of the conventional opamp using a 0.35-µm CMOS and about an order of magnitude smaller compared with the conventional inside-rail 0.18-µm CMOS design due to reduced capacitor area. The proposed design could be extended to n-tuple VDD operation and applied to circuits with a feed back loop such as gain stage and filters. The extendibility of n-tuple VDD operation and its application are discussed with simulation results.

  • A 2.4-GHz Temperature-Compensated CMOS LC-VCO for Low Frequency Drift Low-Power Direct-Modulation GFSK Transmitters

    Toru TANZAWA  Kenichi AGAWA  Hiroyuki SHIBAYAMA  Ryota TERAUCHI  Katsumi HISANO  Hiroki ISHIKURO  Shouhei KOUSAI  Hiroyuki KOBAYASHI  Hideaki MAJIMA  Toru TAKAYAMA  Masayuki KOIZUMI  Fumitoshi HATORI  

     
    PAPER-Analog

      Vol:
    E88-C No:4
      Page(s):
    490-495

    A frequency drift of open-loop PLL is an issue for the direct-modulation applications such as Bluetooth transceiver. The drift mainly comes from a temperature variation of VCO during the transmission operation. In this paper, we propose the optimum location of the VCO, considering the temperature gradient through the whole-chip thermal analysis. Moreover, a novel temperature-compensated VCO, employing a new biasing scheme, is proposed. The combination of these two techniques enables the power reduction of the transmitter by 33% without sacrificing the performance.