The search functionality is under construction.

Author Search Result

[Author] Mototsugu HAMADA(11hit)

1-11hit
  • A Novel Automatic Quality Factor Tuning Scheme for a Low-Power Wideband Active-RC Filter

    Shouhei KOUSAI  Mototsugu HAMADA  Rui ITO  Tetsuro ITAKURA  

     
    PAPER

      Vol:
    E92-A No:2
      Page(s):
    411-420

    A novel automatic quality factor (Q) tuning scheme for an low-power and wideband active-RC filter is presented. Although Q-tuning is effective to reduce the power consumption of wideband active-RC filters, there are several problems since the Q-tuning normally relies on a magnitude locked loop (MLL). MLL is not accurate due to the amplitude detection circuits, and occupied area and power consumption tends to be large due to its complexity. In addition, flexibility to the reference signal may be the problem, since the reference signal which has a fixed accurate frequency is required. In order to solve these problems, we propose a Q-tuning scheme, which does not require a MLL. Therefore, proposed Q-tuning scheme has good accuracy, small die area, low power consumption and flexibility to the reference signal. In our proposed scheme, Q is tuned by adjusting the phase of an integrator to 90 degrees. The phase of an integrator is adjusted by detecting and controlling the oscillation frequency of a two-stage ring-integrator to the cutoff frequency of a filter, since the phase shift of an integrator is exactly 90 degrees at the oscillation frequency. The frequency is easily detected and controlled by counters and variable resistors, respectively. The Q-tuning circuit with a 5th-order Chebyshev LPF is implemented in a 0.13 µm CMOS technology. The tuning circuit occupies 0.12 mm2 and consumes 2.6 mW from 1.2 V supply.

  • A 0.13 µm CMOS Bluetooth EDR Transceiver with High Sensitivity over Wide Temperature Range and Immunity to Process Variation

    Kenichi AGAWA  Shinichiro ISHIZUKA  Hideaki MAJIMA  Hiroyuki KOBAYASHI  Masayuki KOIZUMI  Takeshi NAGANO  Makoto ARAI  Yutaka SHIMIZU  Asuka MAKI  Go URAKAWA  Tadashi TERADA  Nobuyuki ITOH  Mototsugu HAMADA  Fumie FUJII  Tadamasa KATO  Sadayuki YOSHITOMI  Nobuaki OTSUKA  

     
    PAPER

      Vol:
    E93-C No:6
      Page(s):
    803-811

    A 2.4 GHz 0.13 µm CMOS transceiver LSI, supporting Bluetooth V2.1+enhanced data rate (EDR) standard, has achieved a high reception sensitivity and high-quality transmission signals between -40 and +90. A low-IF receiver and direct-conversion transmitter architecture are employed. A temperature compensated receiver chain including a low-noise amplifier accomplishes a sensitivity of -90 dBm at frequency shift keying modulation even in the worst environmental condition. Design optimization of phase noise in a local oscillator and linearity of a power amplifier improves transmission signals and enables them to meet Bluetooth radio specifications. Fabrication in scaled 0.13 µm CMOS and operation at a low supply voltage of 1.5 V result in small area and low power consumption.

  • A Low-Noise and Highly-Linear Transmitter with Envelope Injection Pre-Power Amplifier for Multi-Mode Radio

    Shouhei KOUSAI  Daisuke MIYASHITA  Junji WADATSUMI  Rui ITO  Takahiro SEKIGUCHI  Mototsugu HAMADA  Kenichi OKADA  

     
    PAPER

      Vol:
    E94-A No:2
      Page(s):
    592-602

    A wideband, low noise, and highly linear transmitter for multi-mode radio is presented. Envelope injection scheme with a CMOS amplifier is developed to obtain sufficient linearity for complex modulation schemes such as OFDM, and to achieve low noise for concurrent operation of more than one standard. Active matching technique with doubly terminated LPF topology is also presented to realize wide bandwidth, low power consumption, and to eliminate off-chip components without increasing die area. A multi-mode transmitter is implemented in a 0.13 µm CMOS technology with an active area of 1.13 mm2. Third-order intermodulation product is improved by 17 dB at -3 dBm output by the envelope injection scheme. The transmitter achieves EVM of less than -29.5 dB at -3 dBm output from 0.2 to 7.2 GHz while consuming only 69 mW. The transmitter is also tested with multiple standards of UMTS, 802.11b, WiMax, 802.11a, and 802.11n, and satisfies EVM, ACLR, and spectrum specifications.

  • A 6.5Gb/s Shared Bus Using Electromagnetic Connectors for Downsizing and Lightening Satellite Processor System

    Atsutake KOSUGE  Mototsugu HAMADA  Tadahiro KURODA  

     
    PAPER

      Pubricized:
    2021/09/03
      Vol:
    E105-A No:3
      Page(s):
    478-486

    A 6.5Gb/s shared bus that uses a 65nm CMOS pulse transceiver chip with a low frequency equalizer and electromagnetic connectors based on two types of transmission line couplers is presented. The amount of backplane wiring is reduced by a factor of 1/16 and total connector volume by a factor of 1/246. It reduces the size and weight of a satellite processor system by 60%, increases the data rate by a factor of 2.6, and satisfies the EMC standard for withstanding the strong shock of rocket launch.

  • A-104 dBc/Hz In-Band Phase Noise 3 GHz All Digital PLL with Phase Interpolation Based Hierarchical Time to Digital Converter

    Daisuke MIYASHITA  Hiroyuki KOBAYASHI  Jun DEGUCHI  Shouhei KOUSAI  Mototsugu HAMADA  Ryuichi FUJIMOTO  

     
    PAPER

      Vol:
    E95-C No:6
      Page(s):
    1008-1016

    This paper presents an ADPLL using a hierarchical TDC composed of a 4fLO DCO followed by a divide-by-4 circuit and three stages of known phase interpolators. We derived simple design requirements for ensuring precision of the phase interpolator. The proposed architecture provides immunity to PVT and local variations, which allows calibration-free operation, as well as sub-inverter delay resolution contributing to good in-band phase noise performance. Also the hierarchical TDC makes it possible to employ a selective activation scheme for power saving. Measured performances demonstrate the above advantages and the in-band phase noise reaches -104 dBc/Hz. It is fabricated in a 65 nm CMOS process and the active area is 0.18 mm2.

  • Optimization of Resonant Capacitance in Wireless Power Transfer System with 3-D Stacked Two Receivers

    Shusuke YANAGAWA  Ryota SHIMIZU  Mototsugu HAMADA  Toru SHIMIZU  Tadahiro KURODA  

     
    BRIEF PAPER

      Vol:
    E101-C No:7
      Page(s):
    488-492

    This paper describes a top-down design methodology to optimize resonant capacitance in a wireless power transfer system with 3-D stacked two receivers. A 1:2 selective wireless power transfer is realized by a frequency/time division multiplexing scheme. The power transfer function is analytically formulated and the optimum tuning capacitance is derived, which is validated by comparing with system simulation results. By using the optimized values, power transfer efficiencies at 6.78MHz and 13.56MHz are simulated to be 80% and 84%, respectively, which are <3% worse than a conventional wireless power transfer system.

  • Module-Wise Dynamic Voltage and Frequency Scaling for a 90 nm H.264/MPEG-4 Codec LSI

    Yukihito OOWAKI  Shinichiro SHIRATAKE  Toshihide FUJIYOSHI  Mototsugu HAMADA  Fumitoshi HATORI  Masami MURAKATA  Masafumi TAKAHASHI  

     
    INVITED PAPER

      Vol:
    E89-C No:3
      Page(s):
    263-270

    The module-wise dynamic voltage and frequency scaling (MDVFS) scheme is applied to a single-chip H.264/MPEG-4 audio/visual codec LSI. The power consumption of the target module with controlled supply voltage and frequency is reduced by 40% in comparison with the operation without voltage or frequency scaling. The consumed power of the chip is 63 mW in decoding QVGA H.264 video at 15 fps and MPEG-4 AAC LC audio simultaneously. This LSI keep operating continuously even during the voltage transition of the target module by introducing the newly developed dynamic de-skewing system (DDS) which watches and control the clock edge of the target module.

  • A Full-CMOS Single Chip Bluetooth LSI with 1.5 MHz-IF Receiver and Direct Modulation Transmitter

    Fumitoshi HATORI  Hiroki ISHIKURO  Mototsugu HAMADA  Ken-ichi AGAWA  Shouhei KOUSAI  Hiroyuki KOBAYASHI  Duc Minh NGUYEN  

     
    PAPER

      Vol:
    E87-C No:4
      Page(s):
    556-562

    This paper describes a full-CMOS single-chip Bluetooth LSI fabricated using a 0.18 µm CMOS, triple-well, quad-metal technology. The chip integrates radio and baseband, which is compliant with Bluetooth Core Specification version 1.1. A direct modulation transmitter and a low-IF receiver architecture are employed for the low-power and low-cost implementation. To reduce the power consumption of the digital blocks, it uses a clock gating technique during the active modes and a power manager during the low power modes. The maximum power consumption is 75 mW for the transmission, 120 mW for the reception and 30 µW for the low power mode operation. These values are low enough for mobile applications. Sensitivity of -80 dBm has been achieved and the transmitter can deliver up to 4 dBm.

  • Crosstalk Analysis and Countermeasures of High-Bandwidth 3D-Stacked Memory Using Multi-Hop Inductive Coupling Interface Open Access

    Kota SHIBA  Atsutake KOSUGE  Mototsugu HAMADA  Tadahiro KURODA  

     
    BRIEF PAPER

      Pubricized:
    2022/09/30
      Vol:
    E106-C No:7
      Page(s):
    391-394

    This paper describes an in-depth analysis of crosstalk in a high-bandwidth 3D-stacked memory using a multi-hop inductive coupling interface and proposes two countermeasures. This work analyzes the crosstalk among seven stacked chips using a 3D electromagnetic (EM) simulator. The detailed analysis reveals two main crosstalk sources: concentric coils and adjacent coils. To suppress these crosstalks, this paper proposes two corresponding countermeasures: shorted coils and 8-shaped coils. The combination of these coils improves area efficiency by a factor of 4 in simulation. The proposed methods enable an area-efficient inductive coupling interface for high-bandwidth stacked memory.

  • Transmission Line Coupler: High-Speed Interface for Non-Contact Connecter Open Access

    Mototsugu HAMADA  Tadahiro KURODA  

     
    INVITED PAPER

      Vol:
    E102-C No:7
      Page(s):
    501-508

    This paper describes transmission line couplers for non-contact connecters. Their characteristics are formulated in closed forms and design methodologies are presented. As their applications, three different types of transmission line couplers, two-fold transmission line coupler, single-ended to differential conversion transmission line coupler, and rotatable transmission line coupler are reviewed.

  • A 0.13 mJ/Prediction CIFAR-100 Fully Synthesizable Raster-Scan-Based Wired-Logic Processor in 16-nm FPGA Open Access

    Dongzhu LI  Zhijie ZHAN  Rei SUMIKAWA  Mototsugu HAMADA  Atsutake KOSUGE  Tadahiro KURODA  

     
    PAPER

      Pubricized:
    2023/11/24
      Vol:
    E107-C No:6
      Page(s):
    155-162

    A 0.13mJ/prediction with 68.6% accuracy wired-logic deep neural network (DNN) processor is developed in a single 16-nm field-programmable gate array (FPGA) chip. Compared with conventional von-Neumann architecture DNN processors, the energy efficiency is greatly improved by eliminating DRAM/BRAM access. A technical challenge for conventional wired-logic processors is the large amount of hardware resources required for implementing large-scale neural networks. To implement a large-scale convolutional neural network (CNN) into a single FPGA chip, two technologies are introduced: (1) a sparse neural network known as a non-linear neural network (NNN), and (2) a newly developed raster-scan wired-logic architecture. Furthermore, a novel high-level synthesis (HLS) technique for wired-logic processor is proposed. The proposed HLS technique enables the automatic generation of two key components: (1) Verilog-hardware description language (HDL) code for a raster-scan-based wired-logic processor and (2) test bench code for conducting equivalence checking. The automated process significantly mitigates the time and effort required for implementation and debugging. Compared with the state-of-the-art FPGA-based processor, 238 times better energy efficiency is achieved with only a slight decrease in accuracy on the CIFAR-100 task. In addition, 7 times better energy efficiency is achieved compared with the state-of-the-art network-optimized application-specific integrated circuit (ASIC).