The search functionality is under construction.

Author Search Result

[Author] Kazuyasu FUJISHIMA(16hit)

1-16hit
  • A 0.18 µm 32 Mb Embedded DRAM Macro for 3-D Graphics Controller

    Akira YAMAZAKI  Takeshi FUJINO  Kazunari INOUE  Isamu HAYASHI  Hideyuki NODA  Naoya WATANABE  Fukashi MORISHITA  Katsumi DOSAKA  Yoshikazu MOROOKA  Shinya SOEDA  Kazutami ARIMOTO  Setsuo WAKE  Kazuyasu FUJISHIMA  Hideyuki OZAKI  

     
    PAPER-Electronic Circuits

      Vol:
    E85-C No:9
      Page(s):
    1697-1708

    A 23.3 mm2 32 Mb embedded DRAM (eDRAM) macro has been fabricated using 0.18 µm triple-well 4-metal embedded DRAM process technology to realize an accelerated 3-D graphics controller. The array architecture, using a dual-port sense amplifier, achieves the column access latency of two cycles at 222 MHz and a peak data rate of 14.2 4 GB/s at 4 macros. The process cost has been kept low by using VT-MOS circuit technology and taking advantage of a characteristic of dual-gate oxide process technology. A tRAC of 11.6 ns at 2.0 V is achieved using a 'pre-detect redundancy' circuit.

  • A Dual-Mode Sensing Scheme of Capacitor-Coupled EEPROM Cell

    Masanori HAYASHIKOSHI  Hideto HIDAKA  Kazutami ARIMOTO  Kazuyasu FUJISHIMA  

     
    PAPER

      Vol:
    E75-C No:4
      Page(s):
    467-471

    This paper describes a dual-mode sensing (DMS) scheme of a capacitor-coupled EEPROM cell. A new memory cell structure and a new sensing scheme are proposed and estimated. The new memory cell combines an EEPROM cell with a DRAM cell. The DMS Scheme utilizes the charge-mode sensing of the DRAM cell in addition to the current-mode sensing of the EEPROM cell. Using this DMS technique, the sensing speed can be enhanced by 36% at a cell current of 15 µA by virtue of the additional charge-mode sensing. Furthermore, the stress applied to the tunnel oxide of the memory transistor can be relieved by decreasing the programming voltage and shortening the programming time. Therefore, with this memory cell structure and sensing scheme, it is possible to realize high-speed sensing in low-voltage operation and high endurance.

  • A ST (Stretchable Memory Matrix) DRAM with Multi-Valued Addressing Scheme

    Tsukasa OOISHI  Mikio ASAKURA  Hideto HIDAKA  Kazutami ARIMOTO  Kazuyasu FUJISHIMA  

     
    PAPER

      Vol:
    E75-C No:11
      Page(s):
    1323-1332

    A multi-valued addressing scheme is proposed for a high speed, high packing density memory system. This scheme is a level-multiplex addressing scheme instead of standard time-multiplex addressing scheme, and provides all address signals to the DRAM at the same time without increasing the address pin counts. This scheme makes memory matrix strechable and achieves the low power dissipation using the enhanced partial array activation. The 16 Mb stretchable memory matrix DRAM (16MbSTDRAM) is examined using this addressing design. A power dissipation of 121.5 mW, access time of 30 ns, and 20 pin have been estimated for 3.3 v 16MbSTDRAM with X/Y=15/9 adress configuration. The low power battery-drive memory system for such as the note-book or the handheld-type personal computers can be realized by the STDRAMs with the multi-valued addressing scheme.

  • A Well-Synchronized Sensing/Equalizing Method for Sub-1.0-V Operating Advanced DRAM's

    Tsukasa OOISHI  Mikio ASAKURA  Shigeki TOMISHIMA  Hideto HIDAKA  Kazutami ARIMOTO  Kazuyasu FUJISHIMA  

     
    PAPER

      Vol:
    E77-C No:5
      Page(s):
    762-770

    We propose an advanced DRAM array driving technique which can achieve low-voltage operation, which we call a well-synchronized sensing and equalizing method. This method sets the DRAM array free from the body effect, achieves a small influence of the short channel effect, and reduces the leakage current. The sense and restore amplifier and equalizer can operate rapidly under a low-voltage operating condition such as 1.0 V Vcc. Therefore, we can make determining the Vth easy for the satisfaction of the high-speed, the low-power dissipation, and a simple device structure. The well-synchronized sensing and equalizing method is applicable to low-voltage operating DRAM's with capacity of 256 Mbits and more.

  • Emerging Memory Solutions for Graphics Applications

    Katsumi SUIZU  Toshiyuki OGAWA  Kazuyasu FUJISHIMA  

     
    INVITED PAPER

      Vol:
    E78-C No:7
      Page(s):
    773-781

    Ever increasing demand for higher bandwidth memories, which is fueled by multimedia and 3D graphics, seems to be somewhat satisfied with various emerging memory solutions. This paper gives a review of these emerging DRAM architectures and a performance comparison based on a condition to let the readers have some perspectives of the future and optimized graphics systems.

  • A Programmable Geometry Processor with Enhanced Four-Parallel SIMD Type Processing Core for PC-Based 3D Graphics

    Hiroyuki KAWAI  Yoshitsugu INOUE  Junko KOBARA  Robert STREITENBERGER  Hiroaki SUZUKI  Hiroyasu NEGISHI  Masatoshi KAMEYAMA  Kazunari INOUE  Yasutaka HORIBA  Kazuyasu FUJISHIMA  

     
    PAPER-Integrated Electronics

      Vol:
    E85-C No:5
      Page(s):
    1200-1210

    This paper describes a kind of 3D graphics geometry processor architecture for high performance/cost 3D graphics, its application to a real chip, and the results of performance evaluation. In order to establish the high speed geometry processing, dedicated hardware is introduced for accelerating special operations, such as power calculations, clip tests, and program address generation. The dedicated hardware consists of a modified floating-point multiplier in a four-parallel SIMD processing core, a clip test unit, and an internal program address generation scheme optimized to geometry processing mode. Special instructions corresponding to the dedicated schemes are also defined and added. The parallelism of the SIMD core is adjusted to a geometry data structure. Employing dedicated hardware and software significantly accelerates these complicated operations deriving from geometry algorithms. The collaboration of the hardware design and the software design considerably reduces instruction step counts for complex processing. Two kinds of program are dealt with in the proposed architecture. One is a special case program containing few conditional jump instructions, and the other is a general case program combining many program routines. The proposed program address generation scheme provides the automatic selection of a program optimized to each geometry processing mode. By this program address generation scheme and the program types, the frequency of the conditional jump operations, that usually disturb a pipeline operation, are minimized under practical use. Additionally, the programmable design and this program address generation scheme facilitate the load balancing of the geometry calculations with the CPU. A programmable geometry processor was fabricated by using 0.35 µm CMOS process as an application of this architecture. One point three million transistors are integrated in a 11.84 12.07 mm2 die. The increase of the gate counts for all the dedicated hardware is a total of 24 K gates and is approximately only a 7.4% increase of the total gate count. This chip operates at 150 MHz, and achieves the processing performance of 5.8 M vertex/sec. The result shows that the proposed programmable architecture (ESIMD: Enhanced SIMD) is 2.3 times more cost effective than a programmable geometry LSI reported previously.

  • Dynamic Floating Body Control SOI CMOS for Power Managed Multimedia ULSIs

    Fukashi MORISHITA  Kazutami ARIMOTO  Kazuyasu FUJISHIMA  Hideyuki OZAKI  Tsutomu YOSHIHARA  

     
    PAPER-Integrated Electronics

      Vol:
    E84-C No:2
      Page(s):
    253-259

    A novel body potential-controlling technique for floating SOI CMOS circuits is proposed and verified in this study. High-speed operation is realized with a small chip size by using body-floating SOI transistors. The use of this technique allows the threshold voltage of the body-floating transistors to be varied transitionally. Therefore, the standby current of SOI CMOS logic is reduced to less than 1/50th of that required by the non-controlled operation of the body potential, and the logic operates at a high speed during the active period. There is no speed penalty for the recovery operation from the standby mode. This technique supports sub-1 V operation, which will be required by future battery-operated devices with wide-range covering.

  • A Smart Design Methodology with Distributed Extra Gate-Arrays for Advanced ULSI Memories

    Masaki TSUKUDA  Kazutami ARIMOTO  Mikio ASAKURA  Hideto HIDAKA  Kazuyasu FUJISHIMA  

     
    PAPER-DRAM

      Vol:
    E76-C No:11
      Page(s):
    1589-1594

    We propose a smart design methodology for advanced ULSI memories to reduce the turn around time(TAT) for circuit revisions with no area penalty. This methodology was executed by distributing extra gate-arrays, which were composed of the n-channel and p-channel transistors, under the power line and the signal line. This method was applied to the development of a 16 Mb DRAM with double metal wiring. The design TAT can be reduced to 1/8 using 1500 gates. This design methodology has been confirmed to be very effective.

  • A New Array Architecture for 16 Mb DRAMs with Special Page Mode

    Masaki TSUKUDE  Tsukasa OISHI  Kazutami ARIMOTO  Hideto HIDAKA  Kazuyasu FUJISHIMA  

     
    PAPER-Integrated Electronics

      Vol:
    E75-C No:10
      Page(s):
    1267-1274

    An improved array architecture to realize fast access, low power dissipation, and wide operating margin, for the 16 Mbit DRAM is proposed. A high speed access is obtained by the fully embedded sense drive scheme for the RAS access time (tRAC), and the special page mode with the hierarchical I/O data bus lines and multi-purpose-register (MPR) for the column address access time (tCAA). A low power dissipation and wide operating margin are obtained by the improved twisted-bit-line (TBL) architecture with double dummy canceling. The 16 Mb DRAM using these architectures has 38 ns tRAC, 14 ns tCAA and 75 mA power dissipation at the typical condition.

  • Features of SOI DRAM's and their Potential for Low-Voltage and/or Giga-Bit Scale DRAM's

    Yasuo YAMAGUCHI  Toshiyuki OASHI  Takahisa EIMORI  Toshiaki IWAMATSU  Shouichi MITAMOTO  Katsuhiro SUMA  Takahiro TSURUDA  Fukashi MORISHITA  Masakazu HIROSE  Hideto HIDAKA  Kazutami ARIMOTO  Kazuyasu FUJISHIMA  Yasuo INOUE  Tadashi NISHIMURA  Hirokazu MIYOSHI  

     
    INVITED PAPER-Dynamic RAMs

      Vol:
    E79-C No:6
      Page(s):
    772-780

    SOI DRAM's are candidates for giga-bit scale DRAM's due to the inherent features of SOI structure, and are also desired to be used as low-voltage memories which will be used in portable systems in the forthcoming multimedia era. However, some drawbacks are also anticipated owing to floating substrate effects. In this report, the advantages and problems concerning SOI DRAM's were reconsidered by evaluation of our test devices and also by analysis with device and circuit simulators for their future prospects. The following advantages of SOI DRAM's were verified. Low-voltage operation, active current reduction and speed gain were obtained by the reduced junction capacitance and the back-gate-bias effect. Static refresh characteristics were improved due to the reduced junction area. Soft error immunity was improved greatly by the complete isolation of the active region when the body potential is fixed. The problems that need to be resolved are closely related to the floating substrate effect. The soft error immunity in a floating body condition and the dynamic refresh characteristics were degraded by the instability of the floating body potential. Process and device approaches such as the field-shield-body-fixing method as well as circuit approaches like the BSG scheme are required to eliminate the floating substrate effects. From these investigations it can be said that a low-voltage DRAM with a current design rule would be possible if we pay close attention to the floating-substrate-related issues by optimizing various process/device and circuit techniques. With further development of the technology to suppress the floating substrate effects, it will be possible to develop simple and low-cost giga-bit level SOI DRAM's which use the SOI's inherent features to the full.

  • Embedded Low-Power Dynamic TCAM Architecture with Transparently Scheduled Refresh

    Hideyuki NODA  Kazunari INOUE  Hans Jurgen MATTAUSCH  Tetsushi KOIDE  Katsumi DOSAKA  Kazutami ARIMOTO  Kazuyasu FUJISHIMA  Kenji ANAMI  Tsutomu YOSHIHARA  

     
    PAPER-Memory

      Vol:
    E88-C No:4
      Page(s):
    622-629

    This paper describes a dynamic TCAM architecture with planar complementary capacitors, transparently scheduled refresh (TSR), autonomous power management (APM) and address-input-free writing scheme. The complementary cell structure of the planar dynamic TCAM (PD-TCAM) allows small cell size of 4.79 µm2 in 130 nm CMOS technology, and realizes stable TCAM operation even with very small storage capacitance. Due to the TSR architecture, the PD-TCAM maintains functional compatibility with a conventional SRAM-based TCAM. The combined effects of the compact PD-TCAM array matrix and the APM technique result in up to 50% reduction of the total power consumption during search operation. In addition, an intelligent address-input-free writing scheme is also introduced to facilitate the PD-TCAM application for the user. Consequently the proposed architecture is quite attractive for realizing compact and low-power embedded TCAM macros for the design of system VLSI solutions in the field of networking applications.

  • A High-Density Dual-Port Memory Cell Operation and Array Architecture for ULSI DRAM's

    Hideto HIDAKA  Kazutami ARIMOTO  Kazuyasu FUJISHIMA  

     
    PAPER

      Vol:
    E75-C No:4
      Page(s):
    508-515

    A new high-density dual-port DRAM architecture is proposed, which realizes a two-transistor/one-capacitor (2Tr-1C) dual-port memory cell array with immunity against the array noise caused by the dual-port operation. This architecture, called a truly dual port (TDP) DRAM, adopts the previously proposed divided/shared bit-line (DSB) sensing scheme in a dual-port 2Tr-1C DRAM array. A 2Tr-1C dual-port memory cell array with the folded bit-line sensing operation, which does not increase the number of bit lines of the 1Tr-1C folded bit-line memory array, is realized, thus reducing the memory cell size. This new architecture offers a solution to the fundamental limitations in the 2Tr-1C dual-port memory cell, and it is easily applicable to dual-port memory cores in ASIC environments. An analysis on the memory array noise in various dual-port architectures shows a significant improvement with this architecture. Applications to a complete pipelining operation of DRAM array and a refresh-free DRAM core are also discussed.

  • Mechanism of Bit Line Mode Soft Error for DRAM

    Mikio ASAKURA  Yoshio MATSUDA  Katsuhiro TSUKAMOTO  Kazuyasu FUJISHIMA  Tsutomu YOSHIHARA  

     
    LETTER-Semiconductor Devices

      Vol:
    E70-E No:11
      Page(s):
    1060-1061

    This letter reports a charge collection experiment of alpha-particle-induced carriers in the cell arrays of the 1 Mb DRAM. It is indicated that this experiment is effective to estimate the soft error rate of VLSI memories with various kinds of structures.

  • Cell-Plate Line Connecting Complementary Bit-Line (C3) Architecture for Battery-Operating DRAM's

    Mikio ASAKURA  Kazutami ARIMOTO  Hideto HIDAKA  Kazuyasu FUJISHIMA  

     
    PAPER

      Vol:
    E75-C No:4
      Page(s):
    495-500

    In low-voltage operating DRAM, one of the most serious problems is how to maintain the sufficient charge stored in the memory cell, which is concerned with the operating margin and soft error immunity. This paper proposes a new array architecture called the Cell-plate line Connecting Complementary bit-line (C3) architecture which realizes a large signal voltage on the bit-line pair and low soft error rate (SER) without degrading the reliablity of the memory cell capacitor dielectric film. This architecture requires no unique process technology and no additional chip area. With the test device using the 16-Mb DRAM process, a 130-mV signal voltage is observed at 1.5-V power supply with 1.6 3.2-µm2 cell size. This architecture will open the path for future battery-backup and/or battery-operating high-density DRAM's.

  • A Divided/Pausing Bitline Sensing Scheme (DIPS) for ULSI DRAM Core

    Hideto HIDAKA  Yoshio MATSUDA  Kazuyasu FUJISHIMA  

     
    LETTER-Integrated Circuits

      Vol:
    E73-E No:11
      Page(s):
    1852-1854

    A new DRAM bitline architecture, called Divided/Pausing Bitline Sensing Scheme (DIPS), is proposed for DRAM core of 64 Mbit level and beyond. This architecture eliminates the inter-bitline coupling noise and realizes a high speed sensing operation.

  • A Line-Mode Test with Data Register for ULSI Memory Architecture

    Tsukasa OOISHI  Masaki TSUKUDE  Kazutani ARIMOTO  Yoshio MATSUDA  Kazuyasu FUJISHIMA  

     
    PAPER-DRAM

      Vol:
    E76-C No:11
      Page(s):
    1595-1603

    We propose an advanced hyper parallel testing method which improves the line-mode test method by adding data inversion registers which we call the Advanced Line-mode Test (ALT). This testing method has the same testing capability as the conventional bit-by-bit and multi-bit test method (MBT), because it enables the application of a high sensitive and practical test patterns under the hyper parallel condition. The testing time for fixed data patterns are reduced by 1/1900 (all-0/1, checker board, and etc.). Moreover, the ALT can be applicable to the continuous patterns (march, walking, and etc.). The ALT improved from the line-mode test with registers and comparators (LTR) is able to applicable to the most test patterns and to reduce the testing time remarkably, and is suitable for the ULSI memories.