The search functionality is under construction.

Author Search Result

[Author] Tsutomu YOSHIHARA(13hit)

1-13hit
  • Highly Flexible Row and Column Redundancy and Cycle Time Adaptive Read Data Path for Double Data Rate Synchronous Memories

    Kiyohiro FURUTANI  Takeshi HAMAMOTO  Takeo MIKI  Masaya NAKANO  Takashi KONO  Shigeru KIKUDA  Yasuhiro KONISHI  Tsutomu YOSHIHARA  

     
    PAPER-Integrated Electronics

      Vol:
    E88-C No:2
      Page(s):
    255-263

    This paper describes two circuit techniques useful for the design of high density and high speed low cost double data rate memories. One is a highly flexible row and column redundancy circuit which allows the division of flexible row redundancy unit into multiple column redundancy unit for higher flexibility, with a new test mode circuit which enables the use of the finer pitch laser fuse. Another is a compact read data path which allows the smooth data flow without wait time in the high frequency operation with less area penalty. These circuit techniques achieved the compact chip size with the cell efficiency of 60.6% and the high bandwidth of 400 MHz operation with CL=2.5.

  • A Study of Sense-Voltage Margins in Low-Voltage-Operating Embedded DRAM Macros

    Akira YAMAZAKI  Fukashi MORISHITA  Naoya WATANABE  Teruhiko AMANO  Masaru HARAGUCHI  Hideyuki NODA  Atsushi HACHISUKA  Katsumi DOSAKA  Kazutami ARIMOTO  Setsuo WAKE  Hideyuki OZAKI  Tsutomu YOSHIHARA  

     
    PAPER-Integrated Electronics

      Vol:
    E88-C No:10
      Page(s):
    2020-2027

    The voltage margin of an embedded DRAM's sense operation has been shrinking with the scaling of process technology. A method to estimate this margin would be a key to optimizing the memory array configuration and the size of the sense transistor. In this paper, the voltage margin of the sense operation is theoretically analyzed. The accuracy of the proposed voltage margin model was confirmed on a 0.13-µm eDRAM test chip, and the results of calculation were generally in agreement with the measured results.

  • An Efficient Dual Charge Pump Circuit Using Charge Sharing Clock Scheme

    Mengshu HUANG  Yimeng ZHANG  Tsutomu YOSHIHARA  

     
    PAPER

      Vol:
    E95-A No:2
      Page(s):
    439-446

    In this paper, the charge pump efficiency is discussed, and a dual charge pump circuit with complementary architecture using charge sharing clock scheme is presented. The proposed charge sharing clock generator is able to recover the charge from parasitic-capacitor charging and discharging, so that the dynamic power loss in the pumping process is reduced by a half. To preserve the overlapping period of the four-phase clock used for threshold cancellation technique, two complementary sets of clocks are generated from the proposed clock generator, and each set feeds a certain branch of the dual charge pump to achieve the between-branch charge sharing. A test chip is fabricated in 0.18 µm process, and the area penalty of the proposed charge sharing clock generator is 1%. From the measurement results, the proposed charge pump shows an overall power efficiency increase with a peak value of 63.7% comparing to 52.3% of a conventional single charge pump without charge sharing, and the proposed clock scheme shows no degradation on the driving capability while the output ripple voltage is reduced by 43%.

  • Memory Array Architecture and Decoding Scheme for 3 V Only Sector Erasable DINOR Flash Memory

    Shin-ichi KOBAYASHI  Hiroaki NAKAI  Yuichi KUNORI  Takeshi NAKAYAMA  Yoshikazu MIYAWAKI  Yasushi TERADA  Hiroshi ONODA  Natsuo AJIKA  Masahiro HATANAKA  Hirokazu MIYOSHI  Tsutomu YOSHIHARA  

     
    PAPER

      Vol:
    E77-C No:5
      Page(s):
    784-790

    A memory array architecture and row decoding scheme for a 3 V only DINOR (divided bit line NOR) flash memory has been designed. A new sector organization realizes one word line driver per two word lines, which is conformable to tight word line pitch. A hierarchical negative voltage switching row decoder and a compact source line driver have been developed for 1 K byte sector erase without increasing the chip size. A bit-by-bit programming control and a low threshold voltage detection circuit provide a high speed random access time at low Vcc and a narrow program threshold voltage distribution. A 4 Mb DINOR flash memory test device was fabricated from 0.5 µm, double-layer metal, triple polysilicon, triple well CMOS process. The cell measures 1.81.6 µm2 and the chip measures 5.85.0 mm2. The divided bit line structure realizes a small NOR type memory cell.

  • Dynamic Floating Body Control SOI CMOS for Power Managed Multimedia ULSIs

    Fukashi MORISHITA  Kazutami ARIMOTO  Kazuyasu FUJISHIMA  Hideyuki OZAKI  Tsutomu YOSHIHARA  

     
    PAPER-Integrated Electronics

      Vol:
    E84-C No:2
      Page(s):
    253-259

    A novel body potential-controlling technique for floating SOI CMOS circuits is proposed and verified in this study. High-speed operation is realized with a small chip size by using body-floating SOI transistors. The use of this technique allows the threshold voltage of the body-floating transistors to be varied transitionally. Therefore, the standby current of SOI CMOS logic is reduced to less than 1/50th of that required by the non-controlled operation of the body potential, and the logic operates at a high speed during the active period. There is no speed penalty for the recovery operation from the standby mode. This technique supports sub-1 V operation, which will be required by future battery-operated devices with wide-range covering.

  • Design of a Sensorless Controller Synthesized by Robust H∞ Control for Boost Converters

    Xutao LI  Minjie CHEN  Hirofumi SHINOHARA  Tsutomu YOSHIHARA  

     
    PAPER-Energy in Electronics Communications

      Vol:
    E99-B No:2
      Page(s):
    356-363

    Small loop gain and low crossover frequency result in poor dynamic performance of a single-loop output voltage controlled boost converter in continuous conduction mode. Multi-loop current control can improve the dynamic performance, however, the cost, size and weight of the circuit will also be increased. Sensorless multi-loop control solves the problems, however, the difficulty of the closed-loop characteristics evaluation will be severely aggravated, because there are more parameters in the loops, meanwhile, different from the single-loop, the relationships between the loop gains and closed-loop characteristics including audio susceptibility and output impedance are generally indirect for the multi-loop. Therefore, in this paper, a novel robust H∞ synthesis approach in the time-domain is proposed to design a sensorless controller for boost converters, which need not solve any algebraic Riccati equation or linear matrix inequalities, and most importantly, provides an approach to parameterizing the controller by an adjustable parameter. The adjustable parameter behaves like a ‘knob’ on the dynamic performance, consequently, which makes the closed-loop characteristics evaluation straightforward. A boost converter is used to verify the proposed synthesis approach. Simulations show the great convenience of the closed-loop characteristics evaluation. Practical experiments confirm the simulations.

  • Self-Cascode MOSFET with a Self-Biased Body Effect for Ultra-Low-Power Voltage Reference Generator

    Hao ZHANG  Mengshu HUANG  Yimeng ZHANG  Tsutomu YOSHIHARA  

     
    PAPER

      Vol:
    E96-C No:6
      Page(s):
    859-866

    This paper proposes a novel approach for implementing an ultra-low-power voltage reference using the structure of self-cascode MOSFET, operating in the subthreshold region with a self-biased body effect. The difference between the two gate-source voltages in the structure enables the voltage reference circuit to produce a low output voltage below the threshold voltage. The circuit is designed with only MOSFETs and fabricated in standard 0.18-µm CMOS technology. Measurements show that the reference voltage is about 107.5 mV, and the temperature coefficient is about 40 ppm/, at a range from -20 to 80. The voltage line sensitivity is 0.017%/V. The minimum supply voltage is 0.85 V, and the supply current is approximately 24 nA at 80. The occupied chip area is around 0.028 mm2.

  • Embedded Low-Power Dynamic TCAM Architecture with Transparently Scheduled Refresh

    Hideyuki NODA  Kazunari INOUE  Hans Jurgen MATTAUSCH  Tetsushi KOIDE  Katsumi DOSAKA  Kazutami ARIMOTO  Kazuyasu FUJISHIMA  Kenji ANAMI  Tsutomu YOSHIHARA  

     
    PAPER-Memory

      Vol:
    E88-C No:4
      Page(s):
    622-629

    This paper describes a dynamic TCAM architecture with planar complementary capacitors, transparently scheduled refresh (TSR), autonomous power management (APM) and address-input-free writing scheme. The complementary cell structure of the planar dynamic TCAM (PD-TCAM) allows small cell size of 4.79 µm2 in 130 nm CMOS technology, and realizes stable TCAM operation even with very small storage capacitance. Due to the TSR architecture, the PD-TCAM maintains functional compatibility with a conventional SRAM-based TCAM. The combined effects of the compact PD-TCAM array matrix and the APM technique result in up to 50% reduction of the total power consumption during search operation. In addition, an intelligent address-input-free writing scheme is also introduced to facilitate the PD-TCAM application for the user. Consequently the proposed architecture is quite attractive for realizing compact and low-power embedded TCAM macros for the design of system VLSI solutions in the field of networking applications.

  • A New Erasing and Row Decoding Scheme for Low Supply Voltage Operation 16-Mb/64-Mb Flash Memories

    Yoshikazu MIYAWAKI  Takeshi NAKAYAMA  Shin-ichi KOBAYASHI  Natsuo AJIKA  Makoto OHI  Yasushi TERADA  Hideaki ARIMA  Tsutomu YOSHIHARA  

     
    PAPER

      Vol:
    E75-C No:4
      Page(s):
    481-486

    To improve the performance of high-density flash memories, several circuit technologies have been developed. A word-line boost and clamp scheme realizes low supply voltage read operations. A flash programming scheme utilizing Fowler-Nordheim (F-N) tunneling for programming before erasure and a negative gate biased erasing scheme accomplish low-power, high-speed, and 5-V-only erase operations. The chip size penalty is estimated to be only 3% for the 16-Mb flash memories.

  • An Energy Efficiency 4-bit Multiplier with Two-Phase Non-overlap Clock Driven Charge Recovery Logic

    Yimeng ZHANG  Leona OKAMURA  Tsutomu YOSHIHARA  

     
    PAPER

      Vol:
    E94-C No:4
      Page(s):
    605-612

    A novel charge-recovery logic structure called Pulse Boost Logic (PBL) is proposed in this paper. PBL is a high-speed low-energy-dissipation charge-recovery logic with dual-rail evaluation tree structure. It is driven by 2-phase non-overlap clock, and requires no DC power supply. PBL belongs to boost logic family, which includes boost logic, enhanced boost logic and subthreshold boost logic. In this paper, PBL has been compared with other charge-recovery logic technologies. To demonstrate the performance of PBL structure, a 4-bit pipeline multiplier is designed and fabricated with 0.18 µm CMOS process technology. The simulation results indicate that the 4-bit multiplier can work at a frequency of 1.8 GHz, while the measurement of test chip is at operation frequency of 161 MHz, and the power dissipation at 161 MHz is 772 µW.

  • An Area Efficiency Hybrid Decoupling Scheme for Charge Pump Noise Suppression in Non-volatile Memory

    Mengshu HUANG  Leona OKAMURA  Tsutomu YOSHIHARA  

     
    PAPER

      Vol:
    E94-C No:6
      Page(s):
    968-976

    An area efficiency hybrid decoupling scheme is proposed to suppress the charge pump noise during F-N tunneling program in non-volatile memory (NVM). The proposed scheme is focused on suppressing the average noise power in frequency domain aspect, which is more suitable for the program error reduction in NVMs. The concept of active capacitor is utilized. Feed forward effect of the amplifier is firstly considered in the impedance analysis, and a trade-off relation between in-band and out-band frequency noise decoupling performance is shown. A fast optimization based on average noise power is made to achieve minimum error in the F-N tunneling program. Simulation results show very stable output voltage in different load conditions, the average ripple voltage is 17 mV with up to 20 dB noise-suppression-ratio (NSR), and the F-N tunneling program error is less than 5 mV for a 800 µs program pulse. A test chip is also fabricated in 0.18 µm technology. The area overhead of the proposed scheme is 2%. The measurement results show 24.4 mV average ripple voltage compared to 72.3 mV of the conventional one with the same decoupling capacitance size, while the noise power suppression achieves 15.4 dB.

  • Mechanism of Bit Line Mode Soft Error for DRAM

    Mikio ASAKURA  Yoshio MATSUDA  Katsuhiro TSUKAMOTO  Kazuyasu FUJISHIMA  Tsutomu YOSHIHARA  

     
    LETTER-Semiconductor Devices

      Vol:
    E70-E No:11
      Page(s):
    1060-1061

    This letter reports a charge collection experiment of alpha-particle-induced carriers in the cell arrays of the 1 Mb DRAM. It is indicated that this experiment is effective to estimate the soft error rate of VLSI memories with various kinds of structures.

  • An Experimental 16 kbit Nonvolatile Random Access Memory

    Kazuo KOBAYASHI  Yasushi TERADA  Masanori HAYASHIKOSHI  Takeshi NAKAYAMA  Hideaki ARIMA  Takayuki MATSUKAWA  Tsutomu YOSHIHARA  

     
    PAPER-Integrated Electronics

      Vol:
    E73-E No:2
      Page(s):
    260-264

    High density and high speed nonvolatile random access memory is described. Using the conventional floating gate EEPROM process, a dynamic RAM cell has been merged into an EEPROM cell. Data stored on the DRAM cell can be backed up by the EEPROM cell. The data transfer between the DRAM and the EEPROM is executed simultaneously on all memory cells on a same word line. An experimental 16 kbit memory has been manufactured by 1.5 µm design rule CMOS process. The cell size is 17 µm17 µm and the chip size is 57.2 mm3.75 mm. The address access time of 100 ns and the page read access time of 20 ns have been achieved. A nonvolatile CAM (Content Addressable Memory) cell will also be proposed.