The search functionality is under construction.

Keyword Search Result

[Keyword] built-in self-test(20hit)

1-20hit
  • Universal Testing for Linear Feed-Forward/Feedback Shift Registers

    Hideo FUJIWARA  Katsuya FUJIWARA  Toshinori HOSOKAWA  

     
    PAPER-Dependable Computing

      Pubricized:
    2020/02/25
      Vol:
    E103-D No:5
      Page(s):
    1023-1030

    Linear feed-forward/feedback shift registers are used as an effective tool of testing circuits in various fields including built-in self-test and secure scan design. In this paper, we consider the issue of testing linear feed-forward/feedback shift registers themselves. To test linear feed-forward/feedback shift registers, it is necessary to generate a test sequence for each register. We first present an experimental result such that a commercial ATPG (automatic test pattern generator) cannot always generate a test sequence with high fault coverage even for 64-stage linear feed-forward/feedback shift registers. We then show that there exists a universal test sequence with 100% of fault coverage for the class of linear feed-forward/feedback shift registers so that no test generation is required, i.e., the cost of test generation is zero. We prove the existence theorem of universal test sequences for the class of linear feed-forward/feedback shift registers.

  • A Low-Cost Bit-Error-Rate BIST Circuit for High-Speed ADCs Based on Gray Coding

    Ya-Ting SHYU  Ying-Zu LIN  Rong-Sing CHU  Guan-Ying HUANG  Soon-Jyh CHANG  

     
    PAPER-Analog Signal Processing

      Vol:
    E95-A No:12
      Page(s):
    2415-2423

    Real-time on-chip measurement of bit error rate (BER) for high-speed analog-to-digital converters (ADCs) does not only require expensive multi-port high-speed data acquisition equipment but also enormous post-processing. This paper proposes a low-cost built-in-self-test (BIST) circuit for high-speed ADC BER test. Conventionally, the calculation of BER requires a high-speed adder. The presented method takes the advantages of Gray coding and only needs simple logic circuits for BER evaluation. The prototype of the BIST circuit is fabricated along with a 5-bit high-speed flash ADC in a 90-nm CMOS process. The active area is only 90 µm 70 µm and the average power consumption is around 0.3 mW at 700 MS/s. The measurement of the BIST circuit shows consistent results with the measurement by external data acquisition equipment.

  • Noise-Tolerant DAC BIST Scheme Using Integral Calculus Approach

    Hyeonuk SON  Incheol KIM  Sang-Goog LEE  Jin-Ho AHN  Jeong-Do KIM  Sungho KANG  

     
    LETTER-Electronic Circuits

      Vol:
    E94-C No:8
      Page(s):
    1344-1347

    This paper proposes a built-in self-test (BIST) scheme for noise-tolerant testing of a digital-to-analogue converter (DAC). The proposed BIST calculates the differences in output voltages between a DAC and test modules. These differences are used as the inputs of an integrator that determines integral nonlinearity (INL). The proposed method has an advantage of random noise cancelation and achieves a higher test accuracy than do the conventional BIST methods. The simulation results show high standard noise-immunity and fault coverage for the proposed method.

  • Built-In Measurements in Low-Cost Digital-RF Transceivers Open Access

    Oren ELIEZER  Robert Bogdan STASZEWSKI  

     
    INVITED PAPER

      Vol:
    E94-C No:6
      Page(s):
    930-937

    Digital RF solutions have been shown to be advantageous in various design aspects, such as accurate modeling, design reuse, and scaling when migrating to the next CMOS process node. Consequently, the majority of new low-cost and feature cell phones are now based on this approach. However, another equally important aspect of this approach to wireless transceiver SoC design, which is instrumental in allowing fast and low-cost productization, is in creating the inherent capability to assess performance and allow for low-cost built-in calibration and compensation, as well as characterization and final-testing. These internal capabilities can often rely solely on the SoCs existing processing resources, representing a zero cost adder, requiring only the development of the appropriate algorithms. This paper presents various examples of built-in measurements that have been demonstrated in wireless transceivers offered by Texas Instruments in recent years, based on the digital-RF processor (DRPTM) technology, and highlights the importance of the various types presented; built-in self-calibration and compensation, built-in self-characterization, and built-in self-testing (BiST). The accompanying statistical approach to the design and productization of such products is also discussed, and fundamental terms related with these, such as 'soft specifications', are defined.

  • Design for Testability That Reduces Linearity Testing Time of SAR ADCs

    Tomohiko OGAWA  Haruo KOBAYASHI  Satoshi UEMORI  Yohei TAN  Satoshi ITO  Nobukazu TAKAI  Takahiro J. YAMAGUCHI  Kiichi NIITSU  

     
    BRIEF PAPER

      Vol:
    E94-C No:6
      Page(s):
    1061-1064

    This brief paper describes design-for-testability (DFT) circuitry that reduces testing time and thus cost of testing DC linearity of SAR ADCs. We present here the basic concepts, an actual SAR ADC chip design employing the proposed DFT, as well as measurements that verify its effectiveness. Since the DFT circuit overhead is small, it is practicable.

  • A Low Power Test Pattern Generator for BIST

    Shaochong LEI  Feng LIANG  Zeye LIU  Xiaoying WANG  Zhen WANG  

     
    PAPER-Integrated Electronics

      Vol:
    E93-C No:5
      Page(s):
    696-702

    To tackle the increasing testing power during built-in self-test (BIST) operations, this paper proposes a new test pattern generator (TPG). With the proposed reconfigurable LFSR, the reconfigurable Johnson counter, the decompressor and the XOR gate network, the introduced TPG can produce the single input change (SIC) sequences with few repeated vectors. The proposed SIC sequences minimize switching activities of the circuit under test (CUT). Simulation results on ISCAS benchmarks demonstrate that the proposed method can effectively save test power, and does not impose high impact on test length and hardware for the scan based design.

  • An Efficient Fault Syndromes Simulator for SRAM Memories

    Wan Zuha WAN HASAN  Izhal ABD HALIN  Roslina MOHD SIDEK  Masuri OTHMAN  

     
    PAPER

      Vol:
    E92-C No:5
      Page(s):
    639-646

    Testing and diagnosis techniques play a key role in the advance of semiconductor memory technology. The challenge of failure detection has created intensive investigation on efficient testing and diagnosis algorithm for better fault coverage and diagnostic resolution. At present, March test algorithm is used to detect and diagnose all faults related to Random Access Memories. However, the test and diagnosis process are mainly done manually. Due to this, a systematic approach for developing and evaluating memory test algorithm is required. This work is focused on incorporating the March based test algorithm using a software simulator tool for implementing a fast and systematic memory testing algorithm. The simulator allows a user through a GUI to select a March based test algorithm depending on the desired fault coverage and diagnostic resolution. Experimental results show that using the simulator for testing is more efficient than that of the traditional testing algorithm. This new simulator makes it possible for a detailed list of stuck-at faults, transition faults and coupling faults covered by each algorithm and its percentage to be displayed after a set of test algorithms has been chosen. The percentage of diagnostic resolution is also displayed. This proves that the simulator reduces the trade-off between test time, fault coverage and diagnostic resolution. Moreover, the chosen algorithm can be applied to incorporate with memory built-in self-test and diagnosis, to have a better fault coverage and diagnostic resolution. Universities and industry involved in memory Built-in-Self test, Built-in-Self repair and Built-in-Self diagnose will benefit by saving a few years on researching an efficient algorithm to be implemented in their designs.

  • A Single Input Change Test Pattern Generator for Sequential Circuits

    Feng LIANG  ShaoChong LEI  ZhiBiao SHAO  

     
    PAPER-Semiconductor Materials and Devices

      Vol:
    E91-C No:8
      Page(s):
    1365-1370

    An optimized Built-In Self-Test technology is proposed in this paper. A simplified algebraic model is developed to represent the configurations of single input change circuits. A novel single input change sequence generation technique is designed. It consists of a modified scan shift register, a seed storage array and a series of XOR gates. This circuitry can automatically generate single input change sequences of more unique vectors. Experimental results based on the ISCAS-89 benchmark show that the proposed method can achieve high stuck-at fault coverage with low switching activity during test applications.

  • A New Analog-to-Digital Converter BIST Considering a Transient Zone

    Incheol KIM  Kicheol KIM  Youbean KIM  HyeonUk SON  Sungho KANG  

     
    LETTER-Integrated Electronics

      Vol:
    E90-C No:11
      Page(s):
    2161-2163

    A new BIST (Built-in Self-test) method for static ADC testing is proposed. The proposed method detects offset, gain, INL (Integral Non-linearity) and DNL (Differential Non-linearity) errors with a low hardware overhead. Moreover, it can solve a transient zone problem which is derived from the ADC noise in real test environments.

  • Power-Constrained Test Synthesis and Scheduling Algorithms for Non-Scan BIST-able RTL Data Paths

    Zhiqiang YOU  Ken'ichi YAMAGUCHI  Michiko INOUE  Jacob SAVIR  Hideo FUJIWARA  

     
    PAPER-Dependable Computing

      Vol:
    E88-D No:8
      Page(s):
    1940-1947

    This paper proposes two power-constrained test synthesis schemes and scheduling algorithms, under non-scan BIST, for RTL data paths. The first scheme uses boundary non-scan BIST, and can achieve low hardware overheads. The second scheme uses generic non-scan BIST, and can offer some tradeoffs between hardware overhead, test application time and power dissipation. A designer can easily select an appropriate design parameter based on the desired tradeoff. Experimental results confirm the good performance and practicality of our new approaches.

  • OTA-C Based BIST Structure for Analog Circuits

    Cheng-Chung HSU  Wu-Shiung FENG  

     
    LETTER-VLSI Design Technology and CAD

      Vol:
    E83-A No:4
      Page(s):
    771-773

    In this letter, a novel built-in self-test (BIST) structure based on operational transconductance amplifiers and grounded capacitors (OTA-Cs) for the fault diagnosis of analog circuits is proposed. The proposed analog BIST structure, namely ABIST, can be used to increase the number of test points, sampling and controlling of all test points with voltage data, and making less time for test signal observable. Experimental measurements have been made to verify that the proposed ABIST structure is effective.

  • Improving Random Pattern Testability with Partial Circuit Duplication Approach

    Hiroshi YOKOYAMA  Xiaoqing WEN  Hideo TAMAMOTO  

     
    PAPER-Design for Testability

      Vol:
    E81-D No:7
      Page(s):
    654-659

    The advantage of random testing is that test application can be performed at a low cost in the BIST scheme. However, not all circuits are random pattern testable due to the existence of random pattern resistant faults. In this paper, we present a method for improving the random pattern testability of logic circuits by partial circuit duplication approach. The basic idea is to detect random pattern resistant faults by using the difference between the duplicated part of a circuit and the original part. Experimental results on benchmark circuits show that high fault coverage can be achieved with a very small amount of hardware overhead.

  • Cellular Automata Implementation of TPG Circuits for Built-In Two-Pattern Testing

    Kiyoshi FURUYA  Naoki NAKAMURA  

     
    PAPER-Built-in Self-Test

      Vol:
    E81-D No:7
      Page(s):
    675-681

    Cellular automata (CA) implementations are expected as potential test-pattern generators (TPGs) for Built-In Self-Testing of VLSI circuits, in which highly random parallel patterns ought to be generated with simple hardware. Objective here is to design one-dimensional, binary, and linear CA implementations with cyclic boundary conditions that can operate on maximum length of period. To provide maximum period of operations, it is necessary to bring some irregularities into the configurations. It is also expected for TPGs to make maximum or sufficiently long period of operations to prevent re-initialization. Our approach is to generate transition matrices based on fast parallel implementations of LFSRs which have trinomials as characteristic polynomials and then to modify the diagonal components. Some notable properties of diagonal vectors were observed.

  • A Built-In Self-Test for ADC and DAC in a Single-Chip Speech CODEC

    Eiichi TERAOKA  Toru KENGAKU  Ikuo YASUI  Kazuyuki ISHIKAWA  Takahiro MATSUO  Hideyuki WAKADA  Narumi SAKASHITA  Yukihiko SHIMAZU  Takeshi TOKUDA  

     
    PAPER

      Vol:
    E80-A No:2
      Page(s):
    339-345

    Built-in self-test (BIST) has been applied to test an analog to digital converter (ADC) and a digital to analog converter (DAC) embedded in a DSP-core ASIC. The eight performance characteristics of the ADC and the DAC designed in accordance with the ITU-T recommendations are measured using the BIST. Three of the eight characteristics - the attenuation/frequency distortion, the variation of gain with input level, and the signal-to-total distortion - have been evaluated and the measured results have shown good agreement with measured results by conventional tests. In the BIST operation, the DSP-core generates input stimulus and analyzes output response by control of the self-test program, The sizes of the self-test program and coefficient data are 822 words of the IROM and 384 words of the data ROM, respectively. This area overhead is less than 0.5% of total chip area. Test-time by the BIST is reduced to approximately 3.2 seconds, which is one-tenth that of conventional testing. The mixed-signal DSP-core ASIC is testable with only logic test equipment, and as a result, test-cost - that is test investment and test-time - is reduced compared with conventional test methods.

  • A Distributed BIST Technique and Its Test Design Platrorm for VLSIs

    Takeshi IKENAGA  Takeshi OGURA  

     
    PAPER-Integrated Electronics

      Vol:
    E78-C No:11
      Page(s):
    1618-1623

    This paper proposes a distributed built-in self-test (BIST) technique and its test design platform for VLSIs. This BIST has lower hardware overhead pattern generators, compressors and controller. The platform cuts down on the number of complicated operations needed for the BIST insertion and evaluation, so the BIST implementation turn-around-time (TAT) is dramatically reduced. Experimental results for the 110 k-gate arithmetic execution blocks of an image-processing LSI show that using this BIST structure and platform enables the entire BIST implementation within five days. The implemented BIST has a 1% hardware overhead and 96% fault coverage. This platform will significantly reduce testing costs for time-to-market and mass-produced LSIs.

  • Stuck-Open Fault Detectabilities of Various TPG Circuits for Use in Two-Pattern Testing

    Kiyoshi FURUYA  Susumu YAMAZAKI  Masayuki SATO  

     
    PAPER

      Vol:
    E78-D No:7
      Page(s):
    889-894

    Transition coverage has been proposed as a measure of two-pattern test capabilities of TPG circuits for use in BIST. This paper investigates experimentally the relationships between transition coverages and actual stuck-open fault coverages in order to reveal what kind of circuits are appropriate for two-pattern testing. Fault simulation was performed using conventional (n-stage) LFSR, 2n-stage LFSR, and one-dimensional cellular automata (CAs) as TPG circuits and such sample circuits as balanced NAND tree and some ISCAS '85 benchmark circuits as CUTs. It was found that CAs which are designed so as to apply exhaustive transitions to any 3-dimensional subspaces can detect high rate of stuck-open faults. Influence of hazards of decreasing the fault coverage is also mentioned.

  • The Number of Elements in Minimum Test Set for Locally Exhaustive Testing of Combinational Circuits with Five Outputs

    Tokumi YOKOHIRA  Toshimi SHIMIZU  Hiroyuki MICHINISHI  Yuji SUGIYAMA  Takuji OKAMOTO  

     
    PAPER

      Vol:
    E78-D No:7
      Page(s):
    874-881

    Any minimum test set (MLTS) for locally exhaustive testing of multiple output combinational circuits (CUTs) has at least 2w test patterns, where w is the maximum number of inputs on which any output depends. In the previous researches, it is clarified that every CUT with up to four outputs has an MLTS with 2w elements. On the other hand, it can be easily shown that every CUT with more than five outputs does not have such an MLTS. It has not been however known whether every CUT with five outputs has such an MLTS or not. In this paper, it is clarified that every CUT with five outputs has such an MLTS. First, some terminologies are introduced as preliminaries. Second, features of 5(w1) dependence matrices of CUTs with five outputs and (w1) inputs are discussed. Third, an equivalence relation between dependence matrices of two CUTs is introduced. The relation means that if it holds and one of the CUTs has an MLTS with 2w elements, then the other CUT also has such an MLTS. Based on the features described above, a theorem is established that there exists a 5w dependence matrix which is equivalent to each of the above 5(w1) matrices. Finally, it is proved by the use of the theorem that every CUT with five outputs has an MLTS with 2 w elements.

  • Design of Autonomous TPG Circuits for Use in Two-Pattern Testing

    Kiyoshi FURUYA  Seiji SEKI  Edward J. McCLUSKEY  

     
    PAPER

      Vol:
    E78-D No:7
      Page(s):
    882-888

    A method to design one-dimensional cellular arrays to be used as TPG circuits of BIST is described. The interconnections between cells are not limited to adjacent ones but allowed to some neighbors. Completely regular structures that have full-transition coverages for every k-dimensional subspace of state variables are first shown. Then, almost regular arrays which can operate on maximum cycles are derived based on fast parallel implementations of LFSRs.

  • Two-Pattern Test Capabilities of Autonomous TGP Circuits

    Kiyoshi FURUYA  Edward J. McCLUSKEY  

     
    PAPER

      Vol:
    E76-D No:7
      Page(s):
    800-808

    A method to analyze two-pattern test capabilities of autonomous test pattern generator (TPG) circuits for use in built-in self-testing are described. The TPG circuits considered here include arbitrary autonomous linear sequential circuits in which outputs are directly fed out from delay elements. Based on the transition matrix of a circuit, it is shown that the number of distinct transitions in a subspace of state variables can be obtained from rank of the submatrix. The two-pattern test capabilities of LFSRs, cellular automata, and their fast parallel implementation are investigated using the transition coverage as a metric. The relationships with dual circuits and reciprocal circuits are also mentioned.

  • A Method and the Effect of Shuffling Compactor Inputs in VLSI Self-Testing

    Kiyoshi FURUYA  Edward J. McCLUSKEY  

     
    PAPER

      Vol:
    E75-D No:6
      Page(s):
    842-846

    Signature analysis using a Multiple-Input LFSR as the output response compaction circuit is widely adopted in actual BIST schemes. While aliasing probabilities for random errors are usually very small, MI-LFSRs are tend to fail detecting diagonal errors. A spot error, which include the diagonal error as a particular case, is defined as multiple bit crrors adjacent in space and in time domain. Then, shuffling of interconnection between CUT output and MI-LFSR input is studied as a scheme to prevent aliasing for such errors. The condition for preventing aliasing due to a predetermined size of single spot error is shown. Block based shuffling and the shortened one are proposed to realize required distance properties. Effect of shuffling for multiple spot errors is examined by simulation showing that shuffling is effective also for a certain extend of multiple spot errors.