The search functionality is under construction.
The search functionality is under construction.

Keyword Search Result

[Keyword] fault(493hit)

41-60hit(493hit)

  • Layout-Aware Fast Bridge/Open Test Generation by 2-Step Pattern Reordering

    Masayuki ARAI  Shingo INUYAMA  Kazuhiko IWASAKI  

     
    PAPER

      Vol:
    E101-A No:12
      Page(s):
    2262-2270

    As semiconductor device manufacturing technology evolves toward higher integration and reduced feature size, the gap between the defect level estimated at the design stage and that reported for fabricated devices has become wider, making it more difficult to control total manufacturing cost including test cost and cost for field failure. To estimate fault coverage more precisely considering occurrence probabilities of faults, we have proposed weighted fault coverage estimation based on critical area corresponding to each fault. Previously different fault models were handled separately; thus, pattern compression efficiency and runtime were not optimized. In this study, we propose a fast test pattern generation scheme that considers weighted bridge and open fault coverage in an integrated manner. The proposed scheme applies two-step test pattern generation, wherein test patterns generated at second step that target only bridge faults are reordered with a search window of fixed size, achieving O(n) computational complexity. Experimental results indicate that with 10% of the initial target fault size and a fixed, small window size, the proposed scheme achieves approximately 100 times runtime reduction when compared to simple greedy-based reordering, in exchange for about 5% pattern count increment.

  • Probabilistic Fault Diagnosis and its Analysis in Multicomputer Systems

    Manabu KOBAYASHI  Toshiyasu MATSUSHIMA  Shigeichi HIRASAWA  

     
    PAPER-Coding theory and techniques

      Vol:
    E101-A No:12
      Page(s):
    2072-2081

    F.P. Preparata et al. have proposed a fault diagnosis model to find all faulty units in the multicomputer system by using outcomes which each unit tests some other units. In this paper, for probabilistic diagnosis models, we show an efficient diagnosis algorithm to obtain a posteriori probability that each of units is faulty given the test outcomes. Furthermore, we propose a method to analyze the diagnostic error probability of this algorithm.

  • Spectrum-Based Fault Localization Using Fault Triggering Model to Refine Fault Ranking List

    Yong WANG  Zhiqiu HUANG  Rongcun WANG  Qiao YU  

     
    PAPER-Software Engineering

      Pubricized:
    2018/07/04
      Vol:
    E101-D No:10
      Page(s):
    2436-2446

    Spectrum-based fault localization (SFL) is a lightweight approach, which aims at helping debuggers to identity root causes of failures by measuring suspiciousness for each program component being a fault, and generate a hypothetical fault ranking list. Although SFL techniques have been shown to be effective, the fault component in a buggy program cannot always be ranked at the top due to its complex fault triggering models. However, it is extremely difficult to model the complex triggering models for all buggy programs. To solve this issue, we propose two simple fault triggering models (RIPRα and RIPRβ), and a refinement technique to improve fault absolute ranking based on the two fault triggering models, through ruling out some higher ranked components according to its fault triggering model. Intuitively, our approach is effective if a fault component was ranked within top k in the two fault ranking lists outputted by the two fault localization strategies. Experimental results show that our approach can significantly improve the fault absolute ranking in the three cases.

  • Cyclic Vertex Connectivity of Trivalent Cayley Graphs

    Jenn-Yang KE  

     
    PAPER-Fundamentals of Information Systems

      Pubricized:
    2018/03/30
      Vol:
    E101-D No:7
      Page(s):
    1828-1834

    A vertex subset F ⊆ V(G) is called a cyclic vertex-cut set of a connected graph G if G-F is disconnected such that at least two components in G-F contain cycles. The cyclic vertex connectivity is the cardinality of a minimum cyclic vertex-cut set. In this paper, we show that the cyclic vertex connectivity of the trivalent Cayley graphs TGn is equal to eight for n ≥ 4.

  • Byzantine-Tolerant Gathering of Mobile Agents in Arbitrary Networks with Authenticated Whiteboards

    Masashi TSUCHIDA  Fukuhito OOSHITA  Michiko INOUE  

     
    PAPER

      Pubricized:
    2017/12/19
      Vol:
    E101-D No:3
      Page(s):
    602-610

    We propose an algorithm for the gathering problem of mobile agents in arbitrary networks (graphs) with Byzantine agents. Our algorithm can make all correct agents meet at a single node in O(fm) time (f is the upper bound of the number of Byzantine agents and m is the number of edges) under the assumption that agents have unique ID and behave synchronously, each node is equipped with an authenticated whiteboard, and f is known to agents. Here, the whiteboard is a node memory where agents can leave information. Since the existing algorithm achieves gathering without a whiteboard in Õ(n9λ) time, where n is the number of nodes and λ is the length of the longest ID, our algorithm shows an authenticated whiteboard can significantly reduce the time for the gathering problem in Byzantine environments.

  • Low Cost and Fault Tolerant Parallel Computing Using Stochastic Two-Dimensional Finite State Machine

    Xuechun WANG  Yuan JI  Wendong CHEN  Feng RAN  Aiying GUO  

     
    LETTER-Architecture

      Pubricized:
    2017/07/18
      Vol:
    E100-D No:12
      Page(s):
    2866-2870

    Hardware implementation of neural networks usually have high computational complexity that increase exponentially with the size of a circuit, leading to more uncertain and unreliable circuit performance. This letter presents a novel Radial Basis Function (RBF) neural network based on parallel fault tolerant stochastic computing, in which number is converted from deterministic domain to probabilistic domain. The Gaussian RBF for middle layer neuron is implemented using stochastic structure that reduce the hardware resources significantly. Our experimental results from two pattern recognition tests (the Thomas gestures and the MIT faces) show that the stochastic design is capable to maintain equivalent performance when the stream length set to 10Kbits. The stochastic hidden neuron uses only 1.2% hardware resource compared with the CORDIC algorithm. Furthermore, the proposed algorithm is very flexible in design tradeoff between computing accuracy, power consumption and chip area.

  • Discrimination of a Resistive Open Using Anomaly Detection of Delay Variation Induced by Transitions on Adjacent Lines

    Hiroyuki YOTSUYANAGI  Kotaro ISE  Masaki HASHIZUME  Yoshinobu HIGAMI  Hiroshi TAKAHASHI  

     
    PAPER

      Vol:
    E100-A No:12
      Page(s):
    2842-2850

    Small delay caused by a resistive open is difficult to test since circuit delay varies depending on various factors such as process variations and crosstalk even in fault-free circuits. We consider the problem of discriminating a resistive open by anomaly detection using delay distributions obtained by the effect of various input signals provided to adjacent lines. We examined the circuit delay in a fault-free circuit and a faulty circuit by applying electromagnetic simulator and circuit simulator for a line structure with adjacent lines under consideration of process variations. The effectiveness of the method that discriminates a resistive open is shown for the results obtained by the simulation.

  • Deep Learning-Based Fault Localization with Contextual Information

    Zhuo ZHANG  Yan LEI  Qingping TAN  Xiaoguang MAO  Ping ZENG  Xi CHANG  

     
    LETTER-Software Engineering

      Pubricized:
    2017/09/08
      Vol:
    E100-D No:12
      Page(s):
    3027-3031

    Fault localization is essential for solving the issue of software faults. Aiming at improving fault localization, this paper proposes a deep learning-based fault localization with contextual information. Specifically, our approach uses deep neural network to construct a suspiciousness evaluation model to evaluate the suspiciousness of a statement being faulty, and then leverages dynamic backward slicing to extract contextual information. The empirical results show that our approach significantly outperforms the state-of-the-art technique Dstar.

  • Study on LVRT of DFIG Based on Fuzzy-Neural D-STATCOM

    Xueqin ZHENG  Xiaoxiong CHEN  Tung-Chin PAN  

     
    PAPER-Systems and Control

      Vol:
    E100-A No:12
      Page(s):
    2948-2955

    This paper aims to improve the ability of low voltage ride through (LVRT) of doubly-fed induction generation (DFIG) under the asymmetric grid fault. The traditional rotor of the Crowbar device requires a large reactive support during the period of protection, which causes large fluctuations to the reactive power of the output grid while cut in and off for Crowbar. This case would influence the quality and efficiency of entire power system. In order to solve the fluctuation of reactive power and the stability of the wind power system, this paper proposes the coordinated control of the fuzzy-neural D-STATCOM and the rotor of the Crowbar. The simulation results show that the system has the performance of the rotor current with faster decay and faster dynamic response, high steady-state characteristic during the grid fault, which improve the ability of LVRT of DFIG.

  • A Don't Care Filling Method for Low Capture Power based on Correlation of FF Transitions Using SAT

    Masayoshi YOSHIMURA  Yoshiyasu TAKAHASHI  Hiroshi YAMAZAKI  Toshinori HOSOKAWA  

     
    PAPER

      Vol:
    E100-A No:12
      Page(s):
    2824-2833

    High power dissipation can occur by high launch-induced switching activity when the response to a test pattern is captured by flip-flops (FFs) in at-speed scan testing, resulting in excessive IR drop. IR drop may cause significant capture-induced yield loss in the deep submicron era. It is known that test modification methods using X-identification and X-filling are effective to reduce power dissipation in the capture cycle. Conventional low power dissipation oriented X-filling methods consecutively select FFs and assign values to decrease the number of transitions on the FFs. In this paper, we propose a novel low power dissipation oriented X-filling method using SAT Solvers that conducts simultaneous X-filling for some FFs. We also proposed a selection order of FFs based on a correlation coefficient between transitions of FFs and power dissipation. Experimental results show that the proposed method was effective for ISCAS'89 and ITC'99 benchmark circuits compared with justification-probability-based fill.

  • Fault Analysis and Diagnosis of Coaxial Connectors in RF Circuits

    Rui JI  Jinchun GAO  Gang XIE  Qiuyan JIN  

     
    PAPER-Electromechanical Devices and Components

      Vol:
    E100-C No:11
      Page(s):
    1052-1060

    Coaxial connectors are extensively used in electrical systems and the degradation of the connector can alter the signal that is being transmitted and leads to faults, which is one of the major causes of low communication quality. In this work, the failure features caused by the degraded connector contact surface were studied. The relationship between the DC resistance and decreased real contact areas was given. Considering the inductance properties and capacitive coupling at high frequencies, the impedance characteristics of the degraded connector were discussed. Based on the transmission line theory and experimental measurement, an equivalent lump circuit of the coaxial connector was developed. For the degraded contact surface, the capacitance was analyzed, and the frequency effect was investigated. According to the high frequency characteristics of the degraded connector, a fault detection and location method for coaxial connectors in RF system was developed using a neural network method. For connectors suffering from different levels of pollution, their impedance modulus varies continuously. Considering the range of the connector's impedance parameters, the fault modes were determined. Based on the scattering parameter simulation of a RF receiver front-end circuit, the S11 and S21 parameters were obtained as feature parameters and Monte Carlo simulations were conducted to generate training and testing samples. Based on the BP neural network algorithm, the fault modes were classified and the results show the diagnosis accuracy was 97.33%.

  • A Low Capture Power Test Generation Method Based on Capture Safe Test Vector Manipulation

    Toshinori HOSOKAWA  Atsushi HIRAI  Yukari YAMAUCHI  Masayuki ARAI  

     
    PAPER-Dependable Computing

      Pubricized:
    2017/06/06
      Vol:
    E100-D No:9
      Page(s):
    2118-2125

    In at-speed scan testing, capture power is a serious problem because the high power dissipation that can occur when the response for a test vector is captured by flip-flops results in excessive voltage drops, known as IR-drops, which may cause significant capture-induced yield loss. In low capture power test generation, the test vectors that violate capture power constraints in an initial test set are defined as capture-unsafe test vectors, while faults that are detected solely by capture-unsafe test vectors are defined as unsafe faults. It is necessary to regenerate the test vectors used to detect unsafe faults in order to prevent unnecessary yield losses. In this paper, we propose a new low capture power test generation method based on fault simulation that uses capture-safe test vectors in an initial test set. Experimental results show that the use of this method reduces the number of unsafe faults by 94% while requiring just 18% more additional test vectors on average, and while requiring less test generation time compared with the conventional low capture power test generation method.

  • Efficient Fault-Aware Routing for Wireless Sensor Networks

    Jaekeun YUN  Daehee KIM  Sunshin AN  

     
    PAPER-Mobile Information Network and Personal Communications

      Vol:
    E100-A No:9
      Page(s):
    1985-1992

    Since the sensor nodes are subject to faults due to the highly-constrained resources and hostile deployment environments, fault management in wireless sensor networks (WSNs) is essential to guarantee the proper operation of networks, especially routing. In contrast to existing fault management methods which mainly aim to be tolerant to faults without considering the fault type, we propose a novel efficient fault-aware routing method where faults are classified and dealt with accordingly. More specifically, we first identify each fault and then try to set up the new routing path according to the fault type. Our proposed method can be easily integrated with any kind of existing routing method. We show that our proposed method outperforms AODV, REAR, and GPSR, which are the representative works of single-path routing, multipath routing and location based routing, in terms of energy efficiency and data delivery ratio.

  • A Method for Diagnosing Bridging Fault between a Gate Signal Line and a Clock Line

    Yoshinobu HIGAMI  Senling WANG  Hiroshi TAKAHASHI  Shin-ya KOBAYASHI  Kewal K. SALUJA  

     
    LETTER-Dependable Computing

      Pubricized:
    2017/06/12
      Vol:
    E100-D No:9
      Page(s):
    2224-2227

    In this paper, we propose a method to diagnose a bridging fault between a clock line and a gate signal line. Assuming that scan based flush tests are applied, we perform fault simulation to deduce candidate faults. By analyzing fault behavior, it is revealed that faulty clock waveforms depend on the timing of the signal transition on a gate signal line which is bridged. In the fault simulation, a backward sensitized path tracing approach is introduced to calculate the timing of signal transitions. Experimental results show that the proposed method deduces candidate faults more accurately than our previous method.

  • Stochastic Fault-Tolerant Routing in Dual-Cubes

    Junsuk PARK  Nobuhiro SEKI  Keiichi KANEKO  

     
    LETTER-Dependable Computing

      Pubricized:
    2017/05/10
      Vol:
    E100-D No:8
      Page(s):
    1920-1921

    In the topologies for interconnected nodes, it is desirable to have a low degree and a small diameter. For the same number of nodes, a dual-cube topology has almost half the degree compared to a hypercube while increasing the diameter by just one. Hence, it is a promising topology for interconnection networks of massively parallel systems. We propose here a stochastic fault-tolerant routing algorithm to find a non-faulty path from a source node to a destination node in a dual-cube.

  • Task Scheduling Based Redundant Task Allocation Method for the Multi-Core Systems with the DTTR Scheme

    Hiroshi SAITO  Masashi IMAI  Tomohiro YONEDA  

     
    PAPER

      Vol:
    E100-A No:7
      Page(s):
    1363-1373

    In this paper, we propose a redundant task allocation method for multi-core systems based on the Duplication with Temporary Triple-Modular Redundancy and Reconfiguration (DTTR) scheme. The proposed method determines task allocation of a given task graph to a given multi-core system model from task scheduling in given fault patterns. Fault patterns defined in this paper consist of a set of faulty cores and a set of surviving cores. To optimize the average failure rate of the system, task scheduling minimizes the execution time of the task graph preserving the property of the DTTR scheme. In addition, we propose a selection method of fault patterns to be scheduled to reduce the task allocation time. In the experiments, at first, we evaluate the proposed selection method of fault patterns in terms of the task allocation time. Then, we compare the average failure rate among the proposed method, a task allocation method which packs tasks into particular cores as much as possible, a task allocation method based on Simulated Annealing (SA), a task allocation method based on Integer Linear Programming (ILP), and a task allocation method based on task scheduling without considering the property of the DTTR scheme. The experimental results show that task allocation by the proposed method results in nearly the same average failure rate by the SA based method with shorter task allocation time.

  • Reordering-Based Test Pattern Reduction Considering Critical Area-Aware Weighted Fault Coverage

    Masayuki ARAI  Kazuhiko IWASAKI  

     
    PAPER

      Vol:
    E100-A No:7
      Page(s):
    1488-1495

    Shrinking feature sizes and higher levels of integration in semiconductor device manufacturing technologies are increasingly causing the gap between defect levels estimated in the design stage and reported ones for fabricated devices. In this paper, we propose a unified weighted fault coverage approach that includes both bridge and open faults, considering the critical area as the incident rate of each fault. We then propose a test pattern reordering scheme that incorporates our weighted fault coverage with an aim to reduce test costs. Here we apply a greedy algorithm to reorder test patterns generated by the bridge and stuck-at automatic test pattern generator (ATPG), evaluating the relationship between the number of patterns and the weighted fault coverage. Experimental results show that by applying this reordering scheme, the number of test patterns was reduced, on average, by approximately 50%. Our results also indicate that relaxing coverage constraints can drastically reduce test pattern set sizes to a level comparable to traditional 100% coverage stuck-at pattern sets, while targeting the majority of bridge faults and keeping the defect level to no more than 10 defective parts per milion (DPPM) with a 99% manufacturing yield.

  • Formal Verification-Based Redundancy Identification of Transition Faults with Broadside Scan Tests

    Hiroshi IWATA  Nanami KATAYAMA  Ken'ichi YAMAGUCHI  

     
    PAPER-Formal techniques

      Pubricized:
    2017/03/07
      Vol:
    E100-D No:6
      Page(s):
    1182-1189

    In accordance with Moore's law, recent design issues include shortening of time-to-market and detection of delay faults. Several studies with respect to formal techniques have examined the first issue. Using the equivalence checking, it is possible to identify whether large circuits are equivalent or not in a practical time frame. With respect to the latter issue, it is difficult to achieve 100% fault efficiency even for transition faults in full scan designs. This study involved proposing a redundant transition fault identification method using equivalence checking. The main concept of the proposed algorithm involved combining the following two known techniques, 1. modeling of a transition fault as a stuck-at fault with temporal expansion and 2. detection of a stuck-at fault by using equivalence checking tools. The experimental results indicated that the proposed redundant identification method using a formal approach achieved 100% fault efficiency for all benchmark circuits in a practical time even if a commercial ATPG tool was unable to achieve 100% fault efficiency for several circuits.

  • RPE: A Seamless Redundancy Protocol for Ethernet Networks

    Nguyen Xuan TIEN  Jong Myung RHEE  

     
    PAPER-Network

      Pubricized:
    2016/11/16
      Vol:
    E100-B No:5
      Page(s):
    711-727

    High availability is crucial for industrial Ethernet networks and Ethernet-based control systems, such as automation networks and substation automation systems. Because the standard Ethernet does not support fault tolerance capability, the high availability of Ethernet networks can be increased by using redundancy protocols. Various redundancy protocols for Ethernet networks have been developed and standardized, such as rapid spanning tree protocol (RSTP), media redundancy protocol (MRP), parallel redundancy protocol (PRP), high-availability seamless redundancy (HSR), and others. RSTP and MRP provide redundancy in the network, whereas PRP and HSR provide redundancy in the end nodes. RSTP and MRP have a disadvantage in switchover delay. PRP and HSR provide zero recovery time, but PRP requires a duplicate network infrastructure, and HSR is mainly used in ring-based topologies. Additionally, PRP and HSR provide seamless redundancy in the end nodes and are applied in dedicated HSR networks with dedicated HSR nodes. In this paper, we present a novel seamless redundancy protocol for Ethernet networks, which is called the Redundancy Protocol for Ethernet (RPE). The RPE provides seamless redundancy in the network. This protocol not only provides seamless communications with zero switchover time in case of failure but also supports all topologies. The RPE is transparent and compatible with standard Ethernet nodes. These features make the RPE very useful in time-critical and mission-critical systems, such as substation automation systems, automation networks, and other industrial Ethernet networks.

  • Physical Fault Detection and Recovery Methods for System-LSI Loaded FPGA-IP Core Open Access

    Motoki AMAGASAKI  Yuki NISHITANI  Kazuki INOUE  Masahiro IIDA  Morihiro KUGA  Toshinori SUEYOSHI  

     
    INVITED PAPER

      Pubricized:
    2017/01/13
      Vol:
    E100-D No:4
      Page(s):
    633-644

    Fault tolerance is an important feature for the system LSIs used in reliability-critical systems. Although redundancy techniques are generally used to provide fault tolerance, these techniques have significantly hardware costs. However, FPGAs can easily provide high reliability due to their reconfiguration ability. Even if faults occur, the implemented circuit can perform correctly by reconfiguring to a fault-free region of the FPGA. In this paper, we examine an FPGA-IP core loaded in SoC and introduce a fault-tolerant technology based on fault detection and recovery as a CAD-level approach. To detect fault position, we add a route to the manufacturing test method proposed in earlier research and identify fault areas. Furthermore, we perform fault recovery at the logic tile and multiplexer levels using reconfiguration. The evaluation results for the FPGA-IP core loaded in the system LSI demonstrate that it was able to completely identify and avoid fault areas relative to the faults in the routing area.

41-60hit(493hit)