The search functionality is under construction.
The search functionality is under construction.

Keyword Search Result

[Keyword] fault(493hit)

181-200hit(493hit)

  • Prediction of Fault-Prone Software Modules Using a Generic Text Discriminator

    Osamu MIZUNO  Tohru KIKUNO  

     
    PAPER-Software Engineering

      Vol:
    E91-D No:4
      Page(s):
    888-896

    This paper describes a novel approach for detecting fault-prone modules using a spam filtering technique. Fault-prone module detection in source code is important for the assurance of software quality. Most previous fault-prone detection approaches have been based on using software metrics. Such approaches, however, have difficulties in collecting the metrics and constructing mathematical models based on the metrics. Because of the increase in the need for spam e-mail detection, the spam filtering technique has progressed as a convenient and effective technique for text mining. In our approach, fault-prone modules are detected in such a way that the source code modules are considered text files and are applied to the spam filter directly. To show the applicability of our approach, we conducted experimental applications using source code repositories of Java based open source developments. The result of experiments shows that our approach can correctly predict 78% of actual fault-prone modules as fault-prone.

  • Learning Algorithms Which Make Multilayer Neural Networks Multiple-Weight-and-Neuron-Fault Tolerant

    Tadayoshi HORITA  Itsuo TAKANAMI  Masatoshi MORI  

     
    PAPER-Biocybernetics, Neurocomputing

      Vol:
    E91-D No:4
      Page(s):
    1168-1175

    Two simple but useful methods, called the deep learning methods, for making multilayer neural networks tolerant to multiple link-weight and neuron-output faults, are proposed. The methods make the output errors in learning phase smaller than those in practical use. The abilities of fault-tolerance of the multilayer neural networks in practical use, are analyzed in the relationship between the output errors in learning phase and in practical use. The analytical result shows that the multilayer neural networks have complete (100%) fault-tolerance to multiple weight-and-neuron faults in practical use. The simulation results concerning the rate of successful learnings, the ability of fault-tolerance, and the learning time, are also shown.

  • Dynamic Scheduling Real-Time Task Using Primary-Backup Overloading Strategy for Multiprocessor Systems

    Wei SUN  Chen YU  Xavier DEFAGO  Yasushi INOGUCHI  

     
    PAPER-Dependable Computing

      Vol:
    E91-D No:3
      Page(s):
    796-806

    The scheduling of real-time tasks with fault-tolerant requirements has been an important problem in multiprocessor systems. The primary-backup (PB) approach is often used as a fault-tolerant technique to guarantee the deadlines of tasks despite the presence of faults. In this paper we propose a dynamic PB-based task scheduling approach, wherein an allocation parameter is used to search the available time slots for a newly arriving task, and the previously scheduled tasks can be re-scheduled when there is no available time slot for the newly arriving task. In order to improve the schedulability we also propose an overloading strategy for PB-overloading and Backup-backup (BB) overloading. Our proposed task scheduling algorithm is compared with some existing scheduling algorithms in the literature through simulation studies. The results have shown that the task rejection ratio of our real-time task scheduling algorithm is almost 50% lower than the compared algorithms.

  • On Detection of Bridge Defects with Stuck-at Tests

    Kohei MIYASE  Kenta TERASHIMA  Xiaoqing WEN  Seiji KAJIHARA  Sudhakar M. REDDY  

     
    PAPER-Defect-Based Testing

      Vol:
    E91-D No:3
      Page(s):
    683-689

    If a test set for more complex faults than stuck-at faults is generated, higher defect coverage would be obtained. Such a test set, however, would have a large number of test vectors, and hence the test costs would go up. In this paper we propose a method to detect bridge defects with a test set initially generated for stuck-at faults in a full scan sequential circuit. The proposed method doesn't add new test vectors to the test set but modifies test vectors. Therefore there are no negative impacts on test data volume and test application time. The initial fault coverage for stuck-at faults of the test set is guaranteed with modified test vectors. In this paper we focus on detecting as many as possible non-feedback AND-type, OR-type and 4-way bridging faults, respectively. Experimental results show that the proposed method increases the defect coverage.

  • Ramp Voltage Testing for Detecting Interconnect Open Faults

    Yukiya MIURA  

     
    PAPER-Defect-Based Testing

      Vol:
    E91-D No:3
      Page(s):
    700-705

    A method for detecting interconnect open faults of CMOS combinational circuits by applying a ramp voltage to the power supply terminal is proposed. The method can assign a known logic value to a fault location automatically by applying a ramp voltage and as a result, it requires only one test vector to detect a fault as a delay fault or an erroneous logic value at primary outputs. In this paper, we show fault detectability and effectiveness of the proposed method by simulation-based and theoretical analysis. We also expose that the method can be applicable to every fault location in a circuit and open faults with any value. Finally, we show ATPG results that are suitable to the proposed method.

  • A Novel Per-Test Fault Diagnosis Method Based on the Extended X-Fault Model for Deep-Submicron LSI Circuits

    Yuta YAMATO  Yusuke NAKAMURA  Kohei MIYASE  Xiaoqing WEN  Seiji KAJIHARA  

     
    PAPER-Fault Diagnosis

      Vol:
    E91-D No:3
      Page(s):
    667-674

    Per-test diagnosis based on the X-fault model is an effective approach for a circuit with physical defects of non-deterministic logic behavior. However, the extensive use of vias and buffers in a deep-submicron circuit and the unpredictable order relation among threshold voltages at the fanout branches of a gate have not been fully addressed by conventional per-test X-fault diagnosis. To take these factors into consideration, this paper proposes an improved per-test X-fault diagnosis method, featuring (1) an extended X-fault model to handle vias and buffers and (2) the use of occurrence probabilities of logic behaviors for a physical defect to handle the unpredictable relation among threshold voltages. Experimental results show the effectiveness of the proposed method.

  • Fault Diagnosis on Multiple Fault Models by Using Pass/Fail Information

    Yuzo TAKAMATSU  Hiroshi TAKAHASHI  Yoshinobu HIGAMI  Takashi AIKYO  Koji YAMAZAKI  

     
    PAPER-Fault Diagnosis

      Vol:
    E91-D No:3
      Page(s):
    675-682

    In general, we do not know which fault model can explain the cause of the faulty values at the primary outputs in a circuit under test before starting diagnosis. Moreover, under Built-In Self Test (BIST) environment, it is difficult to know which primary output has a faulty value on the application of a failing test pattern. In this paper, we propose an effective diagnosis method on multiple fault models, based on only pass/fail information on the applied test patterns. The proposed method deduces both the fault model and the fault location based on the number of detections for the single stuck-at fault at each line, by performing single stuck-at fault simulation with both passing and failing test patterns. To improve the ability of fault diagnosis, our method uses the logic values of lines and the condition whether the stuck-at faults at the lines are detected or not by passing and failing test patterns. Experimental results show that our method can accurately identify the fault models (stuck-at fault model, AND/OR bridging fault model, dominance bridging fault model, or open fault model) for 90% faulty circuits and that the faulty sites are located within two candidate faults.

  • A Method of Locating Open Faults on Incompletely Identified Pass/Fail Information

    Koji YAMAZAKI  Yuzo TAKAMATSU  

     
    PAPER-Fault Diagnosis

      Vol:
    E91-D No:3
      Page(s):
    661-666

    In order to reduce the test cost, built-in self test (BIST) is widely used. One of the serious problems of BIST is that the compacted signature in BIST has very little information for fault diagnosis. Especially, it is difficult to determine which tests detect a fault. Therefore, it is important to develop an efficient fault diagnosis method by using incompletely identified pass/fail information. Where the incompletely identified pass/fail information means that a failing test block consists of at least one failing test and some passing tests, and all of the tests in passing test blocks are the passing test. In this paper, we propose a method to locate open faults by using incompletely identified pass/fail information. Experimental results for ISCAS'85 and ITC'99 benchmark circuits show that the number of candidate faults becomes less than 5 in many cases.

  • Fault Simulation and Test Generation for Transistor Shorts Using Stuck-at Test Tools

    Yoshinobu HIGAMI  Kewal K. SALUJA  Hiroshi TAKAHASHI  Shin-ya KOBAYASHI  Yuzo TAKAMATSU  

     
    PAPER-Defect-Based Testing

      Vol:
    E91-D No:3
      Page(s):
    690-699

    This paper presents methods for detecting transistor short faults using logic level fault simulation and test generation. The paper considers two types of transistor level faults, namely strong shorts and weak shorts, which were introduced in our previous research. These faults are defined based on the values of outputs of faulty gates. The proposed fault simulation and test generation are performed using gate-level tools designed to deal with stuck-at faults, and no transistor-level tools are required. In the test generation process, a circuit is modified by inserting inverters, and a stuck-at test generator is used. The modification of a circuit does not mean a design-for-testability technique, as the modified circuit is used only during the test generation process. Further, generated test patterns are compacted by fault simulation. Also, since the weak short model involves uncertainty in its behavior, we define fault coverage and fault efficiency in three different way, namely, optimistic, pessimistic and probabilistic and assess them. Finally, experimental results for ISCAS benchmark circuits are used to demonstrate the effectiveness of the proposed methods.

  • Post-BIST Fault Diagnosis for Multiple Faults

    Hiroshi TAKAHASHI  Yoshinobu HIGAMI  Shuhei KADOYAMA  Yuzo TAKAMATSU  Koji YAMAZAKI  Takashi AIKYO  Yasuo SATO  

     
    LETTER

      Vol:
    E91-D No:3
      Page(s):
    771-775

    With the increasing complexity of LSI, Built-In Self Test (BIST) is a promising technique for production testing. We herein propose a method for diagnosing multiple stuck-at faults based on the compressed responses from BIST. We refer to fault diagnosis based on the ambiguous test pattern set obtained by the compressed responses of BIST as post-BIST fault diagnosis [1]. In the present paper, we propose an effective method by which to perform post-BIST fault diagnosis for multiple stuck-at faults. The efficiency of the success ratio and the feasibility of diagnosing large circuits are discussed.

  • An Analysis for Fault-Tolerant 3D Processor Arrays Using 1.5-Track Switches

    Tadayoshi HORITA  Yuuji KATOU  Itsuo TAKANAMI  

     
    PAPER-Reliability, Maintainability and Safety Analysis

      Vol:
    E91-A No:2
      Page(s):
    623-632

    This paper deals with redundant 3D mesh processor arrays using 1.5-track switches, considering track and switch faults together with processor faults. Four variants are defined based on the distributions of spare PEs, and arrays of three variants have the same PE redundancies among them, but the fabrication-time costs are different. We investigate in detail how the reliability of a total system changes according to the reliabilities of tracks and switches as well as PEs, and show the concrete values of Mt and Ms, when the reliability of array are almost the same even if its variant is changed, and when it is not so, respectively, where Mt and Ms are the ratio of the hardware complexities of a PE and a track, and that of a PE and a contact point of a switch, respectively. Other results which are effective basis for the design of fault-tolerant 3D PE arrays using 1.5-TSs are given.

  • Fault-Tolerance for the Mobile Ad-Hoc Environment

    Taesoon PARK  Kwangho KIM  

     
    LETTER-Reliability, Maintainability and Safety Analysis

      Vol:
    E91-A No:1
      Page(s):
    413-416

    Fault-tolerance is an important design issue in building a reliable mobile computing system. This paper considers checkpointing recovery services for a mobile computing system based on the ad-hoc network environment. Since potential problems of this new environment are insufficient power and limited storage capacity, the proposed scheme tries to reduce disk access frequency for saving recovery information, and also the amount of information saved for recovery. A brief simulation study has been performed and the results show that the proposed scheme takes advantage of the existing checkpointing recovery schemes.

  • An Efficient Diagnosis Scheme for RAMs with Simple Functional Faults

    Jin-Fu LI  Chao-Da HUANG  

     
    PAPER-Memory Design and Test

      Vol:
    E90-A No:12
      Page(s):
    2703-2711

    This paper presents an efficient diagnosis scheme for RAMs. Three March-based algorithms are proposed to diagnose simple functional faults of RAMs. A March-15N algorithm is used for locating and partially diagnosing faults of bit-oriented or word-oriented memories, where N represents the address number. Then a 3N March-like algorithm is used for locating the aggressor words (bits) of coupling faults (CFs) in word-oriented (bit-oriented) memories. It also can distinguish the faults which cannot be identified by the March-15N algorithm. Thus, the proposed diagnosis scheme can achieve full diagnosis and locate aggressors with (15N + 3mN) Read/Write operations for a bit-oriented RAM with m CFs. For word-oriented RAMs, a March-like algorithm is also proposed to locate the aggressor bit in the aggressor word with 4 log2B Read/Write operations, where B is the word width. Analysis results show that the proposed diagnosis scheme has higher diagnostic resolution and lower time complexity than the previous fault location and fault diagnosis approaches. A programmable built-in self-diagnosis (BISD) design is also implemented to perform the proposed diagnosis algorithms. Experimental results show that the area overhead of the BISD is small--only about 2.17% and 0.42% for 16 K8-bit and 16 K128-bit SRAMs, respectively.

  • Fault Detection and Diagnosis of Manipulator Based on Probabilistic Production Rule

    Shinkichi INAGAKI  Koudai HAYASHI  Tatsuya SUZUKI  

     
    PAPER

      Vol:
    E90-A No:11
      Page(s):
    2488-2495

    This paper presents a new strategy to detect and diagnose fault of a manipulator based on the expression with a Probabilistic Production Rule (PPR). Production Rule (PR) is widely used in the field of computer science as a tool of formal verification. In this work, first of all, PR is used to represent the mapping between highly quantized input and output signals of the dynamical system. By using PR expression, the fault detection and diagnosis algorithm can be implemented with less computational effort. In addition, we introduce a new system description with Probabilistic PR (PPR) wherein the occurrence probability of PRs is assigned to them to improve the robustness with small computational burden. The probability is derived from the statistic characteristics of the observed input and output signals. Then, the fault detection and diagnosis algorithm is developed based on calculating the log-likelihood of the measured data for the designed PPR. Finally, some experiments on a controlled manipulator are demonstrated to confirm the usefulness of the proposed method.

  • Newly Developed Optical Fiber Line Testing System Employing Bi-Directional OTDRs for PON and In-Service Line Testing Criteria

    Yusuke KOSHIKIYA  Noriyuki ARAKI  Hisashi IZUMITA  Fumihiko ITO  

     
    PAPER-Optical Fiber for Communications

      Vol:
    E90-B No:10
      Page(s):
    2793-2802

    A passive optical network (PON) that provides fiber to the home (FTTH) services is a fundamental access network topology in Japan. An optical fiber line monitoring and testing system is essential if we are to improve service reliability and reduce the maintenance costs of optical access networks. PONs have optical splitters in their optical fiber lines. It is difficult to find a fault in an optical fiber line equipped with an optical splitter by using a conventional optical fiber line testing system, which uses optical time-domain reflectometer (OTDR) in a central office (CO), because Rayleigh backscattering from the branched fibers accumulates in the OTDR trace. This paper describes a newly developed optical fiber line testing method that employs bi-directional OTDRs with two wavelengths at branched fiber regions in a PON to locate a fault precisely. Optical fiber line testing is conducted by two OTDRs that are installed in a CO and on a customer's premises, respectively. The OTDR in the CO has a U-band maintenance wavelength. We present two kinds of maintenance wavelength allocation for OTDRs on a customer's premises, which are in the U-band and C-band respectively. An OTDR whose maintenance wavelength is in the U-band enables us to test in-service PON lines simply by filtering the U-band wavelength. For the maintenance wavelengths in the C-band, we can use a cost-effective conventional OTDR to test the PON from the customer's premises on condition that we clarify the peak pulse power limit and dynamic range. We describe the test procedures for both cases. We also clarify the insertion loss design for an optical filter in the CO when using the U-band to provide the maintenance wavelength and the criteria for in-service line testing when the using C-band to provide the maintenance wavelength. To confirm the feasibility of our approach, we demonstrate a bi-directional OTDR method using the U-band and the C-band, and the test procedure, which successfully detected fault locations in branched fiber regions. We also describe the use of packet loss measurements to investigate the effect of in-service line testing with an OTDR in the C-band on data communication quality.

  • Analysis of Test Generation Complexity for Stuck-At and Path Delay Faults Based on τk-Notation

    Chia Yee OOI  Thomas CLOUQUEUR  Hideo FUJIWARA  

     
    PAPER-Complexity Theory

      Vol:
    E90-D No:8
      Page(s):
    1202-1212

    In this paper, we discuss the relationship between the test generation complexity for path delay faults (PDFs) and that for stuck-at faults (SAFs) in combinational and sequential circuits using the recently introduced τk-notation. On the other hand, we also introduce a class of cyclic sequential circuits that are easily testable, namely two-column distributive state-shiftable finite state machine realizations (2CD-SSFSM). Then, we discuss the relevant conjectures and unsolved problems related to the test generation for sequential circuits with PDFs under different clock schemes and test generation models.

  • A New Approximation Algorithm for Computing 2-Restricted Disjoint Paths

    Chao PENG  Hong SHEN  

     
    PAPER-Algorithm Theory

      Vol:
    E90-D No:2
      Page(s):
    465-472

    In this paper we study the problem of how to identify multiple disjoint paths that have the minimum total cost OPT and satisfy a delay bound D in a graph G. This problem has lots of applications in networking such as fault-tolerant quality of service (QoS) routing and network-flow load balancing. Recently, several approximation algorithms have been developed for this problem. Here, we propose a new approximation algorithm for it by using the Lagrangian Relaxation method. We then present a simple approximation algorithm for finding multiple link-disjoint paths that satisfy the delay constraints at a reasonable total cost. If the optimal solution under delay-bound D has a cost OPT, then our algorithm can find a solution whose delay is bounded by (1+)D and the cost is no more than (1+k)OPT. The time complexity of our algorithm is much better than the previous algorithms.

  • Fault Tolerant Dynamic Reconfigurable Device Based on EDAC with Rollback

    Kentaro NAKAHARA  Shin'ichi KOUYAMA  Tomonori IZUMI  Hiroyuki OCHI  Yukihiro NAKAMURA  

     
    PAPER-VLSI Architecture

      Vol:
    E89-A No:12
      Page(s):
    3652-3658

    Reconfigurable devices are expected to be utilized in such mission-critical fields as space development and undersea cables, because system updates and pseudo-repair can be achieved remotely by reconfiguring. However, conventional reconfigurable devices suffer from memory-bit upset caused by charged particles in space which results in fatal system problems. In this paper, we propose an architecture of a fault-tolerant reconfigurable device. The proposed device is divided into "autonomous-repair cells" with embedded control circuits. The autonomous-repair cell proposed in this paper is based on error detection and correction (EDAC) and uses hardware and time redundancy. From evaluation, it is shown that the proposed architecture achieves sufficient reliability against configuration memory upset. Trade-offs between performance and cost are also analyzed.

  • Construction of a Fault-Tolerant Object Group Framework and Its Execution Analysis Using Home-Network Simulations

    Myungseok KANG  Jaeyun JUNG  Hagbae KIM  

     
    LETTER-Network Management/Operation

      Vol:
    E89-B No:12
      Page(s):
    3446-3449

    We propose a Fault-Tolerant Object Group framework that provides group management and fault-tolerance services for consistency maintenance and state transparency as well. Through a virtual home-network simulation, we validate that the FTOG framework supports both of the reliability and the stability of the distributed home-network systems.

  • On Finding Don't Cares in Test Sequences for Sequential Circuits

    Yoshinobu HIGAMI  Seiji KAJIHARA  Irith POMERANZ  Shin-ya KOBAYASHI  Yuzo TAKAMATSU  

     
    PAPER-Dependable Computing

      Vol:
    E89-D No:11
      Page(s):
    2748-2755

    Recently there are various requirements for LSI testing, such as test compaction, test compression, low power dissipation or increase of defect coverage. If test sequences contain lots of don't cares (Xs), then their flexibility can be used to meet the above requirements. In this paper, we propose methods for finding as many Xs as possible in test sequences for sequential circuits. Given a fully specified test sequence generated by a sequential ATPG, the proposed methods produce a test sequence containing Xs without losing stuck-at fault coverage of the original test sequence. The methods apply an approach based on fault simulation, and they introduce some heuristics for reducing the simulation effort. Experimental results for ISCAS'89 benchmark circuits show the effectiveness of the proposed methods.

181-200hit(493hit)