The search functionality is under construction.
The search functionality is under construction.

Author Search Result

[Author] Hideto Hidaka(17hit)

1-17hit
  • Cell-Plate Line Connecting Complementary Bit-Line (C3) Architecture for Battery-Operating DRAM's

    Mikio ASAKURA  Kazutami ARIMOTO  Hideto HIDAKA  Kazuyasu FUJISHIMA  

     
    PAPER

      Vol:
    E75-C No:4
      Page(s):
    495-500

    In low-voltage operating DRAM, one of the most serious problems is how to maintain the sufficient charge stored in the memory cell, which is concerned with the operating margin and soft error immunity. This paper proposes a new array architecture called the Cell-plate line Connecting Complementary bit-line (C3) architecture which realizes a large signal voltage on the bit-line pair and low soft error rate (SER) without degrading the reliablity of the memory cell capacitor dielectric film. This architecture requires no unique process technology and no additional chip area. With the test device using the 16-Mb DRAM process, a 130-mV signal voltage is observed at 1.5-V power supply with 1.6 3.2-µm2 cell size. This architecture will open the path for future battery-backup and/or battery-operating high-density DRAM's.

  • A Divided/Pausing Bitline Sensing Scheme (DIPS) for ULSI DRAM Core

    Hideto HIDAKA  Yoshio MATSUDA  Kazuyasu FUJISHIMA  

     
    LETTER-Integrated Circuits

      Vol:
    E73-E No:11
      Page(s):
    1852-1854

    A new DRAM bitline architecture, called Divided/Pausing Bitline Sensing Scheme (DIPS), is proposed for DRAM core of 64 Mbit level and beyond. This architecture eliminates the inter-bitline coupling noise and realizes a high speed sensing operation.

  • FOREWORD Open Access

    Hideto Hidaka  

     
    FOREWORD

      Vol:
    E101-C No:4
      Page(s):
    186-186
  • A Dual-Mode Sensing Scheme of Capacitor-Coupled EEPROM Cell

    Masanori HAYASHIKOSHI  Hideto HIDAKA  Kazutami ARIMOTO  Kazuyasu FUJISHIMA  

     
    PAPER

      Vol:
    E75-C No:4
      Page(s):
    467-471

    This paper describes a dual-mode sensing (DMS) scheme of a capacitor-coupled EEPROM cell. A new memory cell structure and a new sensing scheme are proposed and estimated. The new memory cell combines an EEPROM cell with a DRAM cell. The DMS Scheme utilizes the charge-mode sensing of the DRAM cell in addition to the current-mode sensing of the EEPROM cell. Using this DMS technique, the sensing speed can be enhanced by 36% at a cell current of 15 µA by virtue of the additional charge-mode sensing. Furthermore, the stress applied to the tunnel oxide of the memory transistor can be relieved by decreasing the programming voltage and shortening the programming time. Therefore, with this memory cell structure and sensing scheme, it is possible to realize high-speed sensing in low-voltage operation and high endurance.

  • A ST (Stretchable Memory Matrix) DRAM with Multi-Valued Addressing Scheme

    Tsukasa OOISHI  Mikio ASAKURA  Hideto HIDAKA  Kazutami ARIMOTO  Kazuyasu FUJISHIMA  

     
    PAPER

      Vol:
    E75-C No:11
      Page(s):
    1323-1332

    A multi-valued addressing scheme is proposed for a high speed, high packing density memory system. This scheme is a level-multiplex addressing scheme instead of standard time-multiplex addressing scheme, and provides all address signals to the DRAM at the same time without increasing the address pin counts. This scheme makes memory matrix strechable and achieves the low power dissipation using the enhanced partial array activation. The 16 Mb stretchable memory matrix DRAM (16MbSTDRAM) is examined using this addressing design. A power dissipation of 121.5 mW, access time of 30 ns, and 20 pin have been estimated for 3.3 v 16MbSTDRAM with X/Y=15/9 adress configuration. The low power battery-drive memory system for such as the note-book or the handheld-type personal computers can be realized by the STDRAMs with the multi-valued addressing scheme.

  • A Board Level Parallel Test Circuit and a Short Circuit Failure Repair Circuit for High-Density, Low-Power DRAMs

    Kiyohiro FURUTANI  Tsukasa OOISHI  Mikio ASAKURA  Hideto HIDAKA  Hideyuki OZAKI  Michihiro YAMADA  

     
    PAPER

      Vol:
    E80-C No:4
      Page(s):
    582-589

    This paper proposes a new test mode circuit which enables the massively parallel test of DRAMs with a standard LSI tester with little chip area penalty. It is useful to enhance the test throughput that can't be improved by the conventional multi-bit test mode. And a new redundancy circuit that detects and repairs the short circuit failures in the memory cell array is also proposed. It greatly improves the yield of super low power 256 Mbit DRAMs.

  • A Well-Synchronized Sensing/Equalizing Method for Sub-1.0-V Operating Advanced DRAM's

    Tsukasa OOISHI  Mikio ASAKURA  Shigeki TOMISHIMA  Hideto HIDAKA  Kazutami ARIMOTO  Kazuyasu FUJISHIMA  

     
    PAPER

      Vol:
    E77-C No:5
      Page(s):
    762-770

    We propose an advanced DRAM array driving technique which can achieve low-voltage operation, which we call a well-synchronized sensing and equalizing method. This method sets the DRAM array free from the body effect, achieves a small influence of the short channel effect, and reduces the leakage current. The sense and restore amplifier and equalizer can operate rapidly under a low-voltage operating condition such as 1.0 V Vcc. Therefore, we can make determining the Vth easy for the satisfaction of the high-speed, the low-power dissipation, and a simple device structure. The well-synchronized sensing and equalizing method is applicable to low-voltage operating DRAM's with capacity of 256 Mbits and more.

  • FOREWORD Open Access

    Hideto Hidaka  

     
    FOREWORD

      Vol:
    E102-C No:4
      Page(s):
    243-244
  • Essential Roles, Challenges and Development of Embedded MCU Micro-Systems to Innovate Edge Computing for the IoT/AI Age Open Access

    Takashi KONO  Yasuhiko TAITO  Hideto HIDAKA  

     
    INVITED PAPER-Integrated Electronics

      Vol:
    E103-C No:4
      Page(s):
    132-143

    Embedded system approaches to edge computing in IoT implementations are proposed and discussed. Rationales of edge computing and essential core capabilities for IoT data supply innovation are identified. Then, innovative roles and development of MCU and embedded flash memory are illustrated by technology and applications, expanding from CPS to big-data and nomadic/autonomous elements of IoT requirements. Conclusively, a technology roadmap construction specific to IoT is proposed.

  • A Mixed-Mode Voltage Down Converter with Impedance Adjustment Circuitry for Low-Voltage High-Frequency Memories

    Tsukasa OOISHI  Yuichiro KOMIYA  Kei HAMADE  Mikio ASAKURA  Kenichi YASUDA  Kiyohiro FURUTANI  Tetsuo KATO  Hideto HIDAKA  Hideyuki OZAKI  

     
    PAPER-Memory

      Vol:
    E79-C No:7
      Page(s):
    986-996

    This paper proposes a low voltage operation technique for a voltagedown converter(VDC) using a mixed-mode VDC(MM-VDC), that combines an analog VDC and a digital VDC, and provides high frequency application using an impedance adjustment circuitry (LAC). The MM-VDC operates with a small response delay and a large supply current. Moreover, the IAC is adopted to the MM-VDC for wide range frequency operation under low voltage conditions. The IAC can change the supply current capability in accordance with the load operation frequency to avoid the overshoot and undershoot problpems caused by the unmatched supply current. A 64 Mb-DRAM test device operated with the MM-VDC achieves well-controlled internal voltage (VCI) level and achieves high frequency operation. These systems, the MM-VDC and the ILVDC, can be applicable for both low voltage and high frequency operation.

  • A Smart Design Methodology with Distributed Extra Gate-Arrays for Advanced ULSI Memories

    Masaki TSUKUDA  Kazutami ARIMOTO  Mikio ASAKURA  Hideto HIDAKA  Kazuyasu FUJISHIMA  

     
    PAPER-DRAM

      Vol:
    E76-C No:11
      Page(s):
    1589-1594

    We propose a smart design methodology for advanced ULSI memories to reduce the turn around time(TAT) for circuit revisions with no area penalty. This methodology was executed by distributing extra gate-arrays, which were composed of the n-channel and p-channel transistors, under the power line and the signal line. This method was applied to the development of a 16 Mb DRAM with double metal wiring. The design TAT can be reduced to 1/8 using 1500 gates. This design methodology has been confirmed to be very effective.

  • A New Array Architecture for 16 Mb DRAMs with Special Page Mode

    Masaki TSUKUDE  Tsukasa OISHI  Kazutami ARIMOTO  Hideto HIDAKA  Kazuyasu FUJISHIMA  

     
    PAPER-Integrated Electronics

      Vol:
    E75-C No:10
      Page(s):
    1267-1274

    An improved array architecture to realize fast access, low power dissipation, and wide operating margin, for the 16 Mbit DRAM is proposed. A high speed access is obtained by the fully embedded sense drive scheme for the RAS access time (tRAC), and the special page mode with the hierarchical I/O data bus lines and multi-purpose-register (MPR) for the column address access time (tCAA). A low power dissipation and wide operating margin are obtained by the improved twisted-bit-line (TBL) architecture with double dummy canceling. The 16 Mb DRAM using these architectures has 38 ns tRAC, 14 ns tCAA and 75 mA power dissipation at the typical condition.

  • Features of SOI DRAM's and their Potential for Low-Voltage and/or Giga-Bit Scale DRAM's

    Yasuo YAMAGUCHI  Toshiyuki OASHI  Takahisa EIMORI  Toshiaki IWAMATSU  Shouichi MITAMOTO  Katsuhiro SUMA  Takahiro TSURUDA  Fukashi MORISHITA  Masakazu HIROSE  Hideto HIDAKA  Kazutami ARIMOTO  Kazuyasu FUJISHIMA  Yasuo INOUE  Tadashi NISHIMURA  Hirokazu MIYOSHI  

     
    INVITED PAPER-Dynamic RAMs

      Vol:
    E79-C No:6
      Page(s):
    772-780

    SOI DRAM's are candidates for giga-bit scale DRAM's due to the inherent features of SOI structure, and are also desired to be used as low-voltage memories which will be used in portable systems in the forthcoming multimedia era. However, some drawbacks are also anticipated owing to floating substrate effects. In this report, the advantages and problems concerning SOI DRAM's were reconsidered by evaluation of our test devices and also by analysis with device and circuit simulators for their future prospects. The following advantages of SOI DRAM's were verified. Low-voltage operation, active current reduction and speed gain were obtained by the reduced junction capacitance and the back-gate-bias effect. Static refresh characteristics were improved due to the reduced junction area. Soft error immunity was improved greatly by the complete isolation of the active region when the body potential is fixed. The problems that need to be resolved are closely related to the floating substrate effect. The soft error immunity in a floating body condition and the dynamic refresh characteristics were degraded by the instability of the floating body potential. Process and device approaches such as the field-shield-body-fixing method as well as circuit approaches like the BSG scheme are required to eliminate the floating substrate effects. From these investigations it can be said that a low-voltage DRAM with a current design rule would be possible if we pay close attention to the floating-substrate-related issues by optimizing various process/device and circuit techniques. With further development of the technology to suppress the floating substrate effects, it will be possible to develop simple and low-cost giga-bit level SOI DRAM's which use the SOI's inherent features to the full.

  • A Cost-Effective 1T-4MTJ Embedded MRAM Architecture with Voltage Offset Self-Reference Sensing Scheme for IoT Applications

    Masanori HAYASHIKOSHI  Hiroaki TANIZAKI  Yasumitsu MURAI  Takaharu TSUJI  Kiyoshi KAWABATA  Koji NII  Hideyuki NODA  Hiroyuki KONDO  Yoshio MATSUDA  Hideto HIDAKA  

     
    PAPER

      Vol:
    E102-C No:4
      Page(s):
    287-295

    A 1-Transistor 4-Magnetic Tunnel Junction (1T-4MTJ) memory cell has been proposed for field type of Magnetic Random Access Memory (MRAM). Proposed 1T-4MTJ memory cell array is achieved 44% higher density than that of conventional 1T-1MTJ thanks to the common access transistor structure in a 4-bit memory cell. A self-reference sensing scheme which can read out with write-back in four clock cycles has been also proposed. Furthermore, we add to estimate with considering sense amplifier variation and show 1T-4MTJ cell configuration is the best solution in IoT applications. A 1-Mbit MRAM test chip is designed and fabricated successfully using 130-nm CMOS process. By applying 1T-4MTJ high density cell and partially embedded wordline driver peripheral into the cell array, the 1-Mbit macro size is 4.04 mm2 which is 35.7% smaller than the conventional one. Measured data shows that the read access is 55 ns at 1.5 V typical supply voltage and 25C. Combining with conventional high-speed 1T-1MTJ caches and proposed high-density 1T-4MTJ user memories is an effective on-chip hierarchical non-volatile memory solution, being implemented for low-power MCUs and SoCs of IoT applications.

  • An Automatic Temperature Compensation of Internal Sense Ground for Subquarter Micron DRAM's

    Tsukasa OOISHI  Yuichiro KOMIYA  Kei HAMADE  Mikio ASAKURA  Kenichi YASUDA  Kiyohiro FURUTANI  Hideto HIDAKA  Hiroshi MIYAMOTO  Hideyuki OZAKI  

     
    PAPER

      Vol:
    E78-C No:6
      Page(s):
    719-727

    This paper describes DRAM array driving techniques and the parameter scaling techniques for a low voltage operation using the boosted sense ground (BSG) scheme and further improved methods. A temperature compensation and adjustable internal voltage levels maintain a small subthreshold leakage current of a memory cell transistor (MC-Tr), and a distributed BSG (DBSG) scheme and a column decoded sensing (CDS) scheme achieve the effective scaling. These schemes can set the DRAM array free from a leakage current problem and free them from an influence of temperature variations. Therefore, parameters for the MC-Tr, threshold voltage (Vth), and the boosted voltage for the gate bias can be scaled down, and it is possible to determine the Vth of the MC-Tr easy (0.45 V at K = 0.4) for the satisfaction of the small leakage current, for the high speed and stable operation, and for the high reliability (VPP is below 2 VCC). They are applicable to the subquarter micron DRAM's of 256 Mb and more.

  • A High-Density Dual-Port Memory Cell Operation and Array Architecture for ULSI DRAM's

    Hideto HIDAKA  Kazutami ARIMOTO  Kazuyasu FUJISHIMA  

     
    PAPER

      Vol:
    E75-C No:4
      Page(s):
    508-515

    A new high-density dual-port DRAM architecture is proposed, which realizes a two-transistor/one-capacitor (2Tr-1C) dual-port memory cell array with immunity against the array noise caused by the dual-port operation. This architecture, called a truly dual port (TDP) DRAM, adopts the previously proposed divided/shared bit-line (DSB) sensing scheme in a dual-port 2Tr-1C DRAM array. A 2Tr-1C dual-port memory cell array with the folded bit-line sensing operation, which does not increase the number of bit lines of the 1Tr-1C folded bit-line memory array, is realized, thus reducing the memory cell size. This new architecture offers a solution to the fundamental limitations in the 2Tr-1C dual-port memory cell, and it is easily applicable to dual-port memory cores in ASIC environments. An analysis on the memory array noise in various dual-port architectures shows a significant improvement with this architecture. Applications to a complete pipelining operation of DRAM array and a refresh-free DRAM core are also discussed.

  • A Passive TE/TM Mode Splitting Device by the Ion-Exchanged LiNbO3 Waveguide

    Yoichi FUJII  Hideto HIDAKA  

     
    LETTER-Optical and Quantum Electronics

      Vol:
    E68-E No:2
      Page(s):
    111-112

    A new type of passive TE/TM mode splitter device using the ion-exchanged LiNbO3 waveguide is proposed and demonstrated. The characteristics of this device is estimated by the Beam Propagation Method based on a simple model. The extinction ratio is predicted to amount to 40 dB in the optimal case. This device is experimentally demonstrated in the X-junction configuration by using the Ag+-exchanged waveguide an Ti-indiffused one, and the extinction ratio is measured to be 10.5 dB, while the calculated value is 15 dB.