The search functionality is under construction.
The search functionality is under construction.

Keyword Search Result

[Keyword] built-in(40hit)

1-20hit(40hit)

  • Universal Testing for Linear Feed-Forward/Feedback Shift Registers

    Hideo FUJIWARA  Katsuya FUJIWARA  Toshinori HOSOKAWA  

     
    PAPER-Dependable Computing

      Pubricized:
    2020/02/25
      Vol:
    E103-D No:5
      Page(s):
    1023-1030

    Linear feed-forward/feedback shift registers are used as an effective tool of testing circuits in various fields including built-in self-test and secure scan design. In this paper, we consider the issue of testing linear feed-forward/feedback shift registers themselves. To test linear feed-forward/feedback shift registers, it is necessary to generate a test sequence for each register. We first present an experimental result such that a commercial ATPG (automatic test pattern generator) cannot always generate a test sequence with high fault coverage even for 64-stage linear feed-forward/feedback shift registers. We then show that there exists a universal test sequence with 100% of fault coverage for the class of linear feed-forward/feedback shift registers so that no test generation is required, i.e., the cost of test generation is zero. We prove the existence theorem of universal test sequences for the class of linear feed-forward/feedback shift registers.

  • A Built-in Test Circuit for Electrical Interconnect Testing of Open Defects in Assembled PCBs

    Widiant  Masaki HASHIZUME  Shohei SUENAGA  Hiroyuki YOTSUYANAGI  Akira ONO  Shyue-Kung LU  Zvi ROTH  

     
    PAPER-Dependable Computing

      Pubricized:
    2016/08/16
      Vol:
    E99-D No:11
      Page(s):
    2723-2733

    In this paper, a built-in test circuit for an electrical interconnect test method is proposed to detect an open defect occurring at an interconnect between an IC and a printed circuit board. The test method is based on measuring the supply current of an inverter gate in the test circuit. A time-varying signal is provided to an interconnect as a test signal by the built-in test circuit. In this paper, the test circuit is evaluated by SPICE simulation and by experiments with a prototyping IC. The experimental results reveal that a hard open defect is detectable by the test method in addition to a resistive open defect and a capacitive open one at a test speed of 400 kHz.

  • A Low-Cost Bit-Error-Rate BIST Circuit for High-Speed ADCs Based on Gray Coding

    Ya-Ting SHYU  Ying-Zu LIN  Rong-Sing CHU  Guan-Ying HUANG  Soon-Jyh CHANG  

     
    PAPER-Analog Signal Processing

      Vol:
    E95-A No:12
      Page(s):
    2415-2423

    Real-time on-chip measurement of bit error rate (BER) for high-speed analog-to-digital converters (ADCs) does not only require expensive multi-port high-speed data acquisition equipment but also enormous post-processing. This paper proposes a low-cost built-in-self-test (BIST) circuit for high-speed ADC BER test. Conventionally, the calculation of BER requires a high-speed adder. The presented method takes the advantages of Gray coding and only needs simple logic circuits for BER evaluation. The prototype of the BIST circuit is fabricated along with a 5-bit high-speed flash ADC in a 90-nm CMOS process. The active area is only 90 µm 70 µm and the average power consumption is around 0.3 mW at 700 MS/s. The measurement of the BIST circuit shows consistent results with the measurement by external data acquisition equipment.

  • Evaluation of SRAM-Core Susceptibility against Power Supply Voltage Variation

    Takuya SAWADA  Taku TOSHIKAWA  Kumpei YOSHIKAWA  Hidehiro TAKATA  Koji NII  Makoto NAGATA  

     
    PAPER

      Vol:
    E95-C No:4
      Page(s):
    586-593

    The susceptibility of a static random access memory (SRAM) core against static and dynamic variation of power supply voltage is evaluated, by using on-chip diagnosis structures of memory built-in self testing (MBIST) and on-chip voltage waveform monitoring (OCM). The SRAM core of interest in this paper is a synthesizable version applicable to general systems-on-a-chip (SoC) design, and fabricated in a 90 nm CMOS technology. RF power injection to power supply networks is quantified by OCM. The number of resultant erroneous bits as well as their distribution in the cell array is given by MBIST. The frequency-dependent sensitivity reflects the highly capacitive nature of densely integrated SRAM cells.

  • Noise-Tolerant DAC BIST Scheme Using Integral Calculus Approach

    Hyeonuk SON  Incheol KIM  Sang-Goog LEE  Jin-Ho AHN  Jeong-Do KIM  Sungho KANG  

     
    LETTER-Electronic Circuits

      Vol:
    E94-C No:8
      Page(s):
    1344-1347

    This paper proposes a built-in self-test (BIST) scheme for noise-tolerant testing of a digital-to-analogue converter (DAC). The proposed BIST calculates the differences in output voltages between a DAC and test modules. These differences are used as the inputs of an integrator that determines integral nonlinearity (INL). The proposed method has an advantage of random noise cancelation and achieves a higher test accuracy than do the conventional BIST methods. The simulation results show high standard noise-immunity and fault coverage for the proposed method.

  • Built-In Measurements in Low-Cost Digital-RF Transceivers Open Access

    Oren ELIEZER  Robert Bogdan STASZEWSKI  

     
    INVITED PAPER

      Vol:
    E94-C No:6
      Page(s):
    930-937

    Digital RF solutions have been shown to be advantageous in various design aspects, such as accurate modeling, design reuse, and scaling when migrating to the next CMOS process node. Consequently, the majority of new low-cost and feature cell phones are now based on this approach. However, another equally important aspect of this approach to wireless transceiver SoC design, which is instrumental in allowing fast and low-cost productization, is in creating the inherent capability to assess performance and allow for low-cost built-in calibration and compensation, as well as characterization and final-testing. These internal capabilities can often rely solely on the SoCs existing processing resources, representing a zero cost adder, requiring only the development of the appropriate algorithms. This paper presents various examples of built-in measurements that have been demonstrated in wireless transceivers offered by Texas Instruments in recent years, based on the digital-RF processor (DRPTM) technology, and highlights the importance of the various types presented; built-in self-calibration and compensation, built-in self-characterization, and built-in self-testing (BiST). The accompanying statistical approach to the design and productization of such products is also discussed, and fundamental terms related with these, such as 'soft specifications', are defined.

  • Design for Testability That Reduces Linearity Testing Time of SAR ADCs

    Tomohiko OGAWA  Haruo KOBAYASHI  Satoshi UEMORI  Yohei TAN  Satoshi ITO  Nobukazu TAKAI  Takahiro J. YAMAGUCHI  Kiichi NIITSU  

     
    BRIEF PAPER

      Vol:
    E94-C No:6
      Page(s):
    1061-1064

    This brief paper describes design-for-testability (DFT) circuitry that reduces testing time and thus cost of testing DC linearity of SAR ADCs. We present here the basic concepts, an actual SAR ADC chip design employing the proposed DFT, as well as measurements that verify its effectiveness. Since the DFT circuit overhead is small, it is practicable.

  • Construction of BILBO FF with Soft-Error-Tolerant Capability

    Kazuteru NAMBA  Hideo ITO  

     
    PAPER-Dependable Computing

      Vol:
    E94-D No:5
      Page(s):
    1045-1050

    In this paper, a soft-error-tolerant BILBO (Built-In Logic Block Observer) FF (flip-flop) is presented. The proposed FF works as a soft-error-tolerant FF in system operations and as a BILBO FF in manufacturing testing. The construction of the proposed FF is based on that of an existing soft-error-tolerant FF, namely a BISER (Built-In Soft Error Resilience) FF. The proposed FF contains a reconfigurable C-element with XNOR calculation capability, which works as a C-element for soft-error-tolerance during system operations and as an XNOR gate employed in linear feedback shift registers (LFSRs) during manufacturing testing. The evaluation results shown in this paper indicate that the area of the proposed FF is 8.5% smaller than that of a simple combination of the existing BISER and BILBO FFs. In addition, the sum of CLK-Q delay and D-CLK setup times on system operations for the proposed FF is 19.7% shorter than that for the combination.

  • A Low Power Test Pattern Generator for BIST

    Shaochong LEI  Feng LIANG  Zeye LIU  Xiaoying WANG  Zhen WANG  

     
    PAPER-Integrated Electronics

      Vol:
    E93-C No:5
      Page(s):
    696-702

    To tackle the increasing testing power during built-in self-test (BIST) operations, this paper proposes a new test pattern generator (TPG). With the proposed reconfigurable LFSR, the reconfigurable Johnson counter, the decompressor and the XOR gate network, the introduced TPG can produce the single input change (SIC) sequences with few repeated vectors. The proposed SIC sequences minimize switching activities of the circuit under test (CUT). Simulation results on ISCAS benchmarks demonstrate that the proposed method can effectively save test power, and does not impose high impact on test length and hardware for the scan based design.

  • An Area/Delay Efficient Dual-Modular Flip-Flop with Higher SEU/SET Immunity

    Jun FURUTA  Kazutoshi KOBAYASHI  Hidetoshi ONODERA  

     
    PAPER

      Vol:
    E93-C No:3
      Page(s):
    340-346

    According to the process scaling, semiconductor devices are becoming more sensitive to soft errors since amount of critical charges are decreasing. In this paper, we propose an area/delay efficient dual modular flip-flop, which is tolerant to SEU (Single Event Upset) and SET (Single Event Transient). It is based on a "BISER" (Built-in Soft Error Resilience). The original BISER FF achieves small area but it is vulnerable to an SET pulse on C-elements. The proposed dual modular FF doubles C-elements and weak keepers between master and slave latches, which enhances SET immunity considerably with paying small area-delay product than the conventional delayed TMR FFs.

  • An Efficient Fault Syndromes Simulator for SRAM Memories

    Wan Zuha WAN HASAN  Izhal ABD HALIN  Roslina MOHD SIDEK  Masuri OTHMAN  

     
    PAPER

      Vol:
    E92-C No:5
      Page(s):
    639-646

    Testing and diagnosis techniques play a key role in the advance of semiconductor memory technology. The challenge of failure detection has created intensive investigation on efficient testing and diagnosis algorithm for better fault coverage and diagnostic resolution. At present, March test algorithm is used to detect and diagnose all faults related to Random Access Memories. However, the test and diagnosis process are mainly done manually. Due to this, a systematic approach for developing and evaluating memory test algorithm is required. This work is focused on incorporating the March based test algorithm using a software simulator tool for implementing a fast and systematic memory testing algorithm. The simulator allows a user through a GUI to select a March based test algorithm depending on the desired fault coverage and diagnostic resolution. Experimental results show that using the simulator for testing is more efficient than that of the traditional testing algorithm. This new simulator makes it possible for a detailed list of stuck-at faults, transition faults and coupling faults covered by each algorithm and its percentage to be displayed after a set of test algorithms has been chosen. The percentage of diagnostic resolution is also displayed. This proves that the simulator reduces the trade-off between test time, fault coverage and diagnostic resolution. Moreover, the chosen algorithm can be applied to incorporate with memory built-in self-test and diagnosis, to have a better fault coverage and diagnostic resolution. Universities and industry involved in memory Built-in-Self test, Built-in-Self repair and Built-in-Self diagnose will benefit by saving a few years on researching an efficient algorithm to be implemented in their designs.

  • Experimental Evaluation of Dynamic Power Supply Noise and Logical Failures in Microprocessor Operations

    Mitsuya FUKAZAWA  Masanori KURIMOTO  Rei AKIYAMA  Hidehiro TAKATA  Makoto NAGATA  

     
    PAPER

      Vol:
    E92-C No:4
      Page(s):
    475-482

    Logical operations in CMOS digital integration are highly prone to fail as the amount of power supply (PS) drop approaches to failure threshold. PS voltage variation is characterized by built-in noise monitors in a 32-bit microprocessor of 90-nm CMOS technology, and related with operation failures by instruction-level programming for logical failure analysis. Combination of voltage drop size and activated logic path determines failure sensitivity and class of failures. Experimental observation as well as simplified simulation is applied for the detailed understanding of the impact of PS noise on logical operations of digital integrated circuits.

  • Vernier Caliper and Equivalent-Signal Sampling for Built-In Jitter Measurement System

    Shu-Yu JIANG  Chan-Wei HUANG  Yu-Lung LO  Kuo-Hsing CHENG  

     
    PAPER

      Vol:
    E92-A No:2
      Page(s):
    389-400

    Several problems in built-in-jitter-measurement (BIJM) system designs have been identified in recent years. The problems are associated with the external low-jitter sampling clock, chip area, timing resolution, or the measurement range via the process voltage temperature (PVT) variation effect. In this work, there are three proposed approaches and one conventioanl method that improve BIJM systems. For the system level, a proposed real equivalent-signal sampling technique is utilized to clear the requirement of the external low-jitter sampling clock. The proposed Vernier caliper structure is applied to reduce chip area cost for the designated timing resolution. At the circuit level, the proposed auto focus technique eliminates the PVT variation effect for the measurement range. The stepping scan technique is the conventional method that employed to minimize the area cost of counter circuits. All of these techniques were implemented in the 0.35 µm CMOS process. Furthermore, these techniques are successfully verified in 14 ps circuit resolution and a 500*750 µm chip area for the 100-400 MHz measurement range.

  • A New Built-in Self Test Scheme for Phase-Locked Loops Using Internal Digital Signals

    Youbean KIM  Kicheol KIM  Incheol KIM  Sungho KANG  

     
    LETTER-Integrated Electronics

      Vol:
    E91-C No:10
      Page(s):
    1713-1716

    Testing PLLs (phase-locked loops) is becoming an important issue that affects both time-to-market and production cost of electronic systems. Though a PLL is the most common mixed-signal building block, it is very difficult to test due to internal analog blocks and signals. In this paper, we propose a new PLL BIST (built-in self test) using the distorted frequency detector that uses only internal digital signals. The proposed BIST does not need to load any analog nodes of the PLL. Therefore, it provides an efficient defect-oriented structural test scheme, reduced area overhead, and improved test quality compared with previous approaches.

  • A Single Input Change Test Pattern Generator for Sequential Circuits

    Feng LIANG  ShaoChong LEI  ZhiBiao SHAO  

     
    PAPER-Semiconductor Materials and Devices

      Vol:
    E91-C No:8
      Page(s):
    1365-1370

    An optimized Built-In Self-Test technology is proposed in this paper. A simplified algebraic model is developed to represent the configurations of single input change circuits. A novel single input change sequence generation technique is designed. It consists of a modified scan shift register, a seed storage array and a series of XOR gates. This circuitry can automatically generate single input change sequences of more unique vectors. Experimental results based on the ISCAS-89 benchmark show that the proposed method can achieve high stuck-at fault coverage with low switching activity during test applications.

  • A New Scan Power Reduction Scheme Using Transition Freezing for Pseudo-Random Logic BIST

    Youbean KIM  Kicheol KIM  Incheol KIM  Hyunwook SON  Sungho KANG  

     
    LETTER-Computer Components

      Vol:
    E91-D No:4
      Page(s):
    1185-1188

    This paper presents a new low power BIST TPG scheme for reducing scan transitions. It uses a transition freezing and melting method which is implemented of the transition freezing block and a MUX. When random test patterns are generated from an LFSR, transitions of those patterns satisfy pseudo-random Gaussian distribution. The proposed technique freezes transitions of patterns using a freezing value. Experimental results show that the proposed BIST TPG schemes can reduce average power reduction by about 60% without performance loss and peak power by about 30% in ISCAS'89 benchmark circuits.

  • An Efficient Diagnosis Scheme for RAMs with Simple Functional Faults

    Jin-Fu LI  Chao-Da HUANG  

     
    PAPER-Memory Design and Test

      Vol:
    E90-A No:12
      Page(s):
    2703-2711

    This paper presents an efficient diagnosis scheme for RAMs. Three March-based algorithms are proposed to diagnose simple functional faults of RAMs. A March-15N algorithm is used for locating and partially diagnosing faults of bit-oriented or word-oriented memories, where N represents the address number. Then a 3N March-like algorithm is used for locating the aggressor words (bits) of coupling faults (CFs) in word-oriented (bit-oriented) memories. It also can distinguish the faults which cannot be identified by the March-15N algorithm. Thus, the proposed diagnosis scheme can achieve full diagnosis and locate aggressors with (15N + 3mN) Read/Write operations for a bit-oriented RAM with m CFs. For word-oriented RAMs, a March-like algorithm is also proposed to locate the aggressor bit in the aggressor word with 4 log2B Read/Write operations, where B is the word width. Analysis results show that the proposed diagnosis scheme has higher diagnostic resolution and lower time complexity than the previous fault location and fault diagnosis approaches. A programmable built-in self-diagnosis (BISD) design is also implemented to perform the proposed diagnosis algorithms. Experimental results show that the area overhead of the BISD is small--only about 2.17% and 0.42% for 16 K8-bit and 16 K128-bit SRAMs, respectively.

  • A New Analog-to-Digital Converter BIST Considering a Transient Zone

    Incheol KIM  Kicheol KIM  Youbean KIM  HyeonUk SON  Sungho KANG  

     
    LETTER-Integrated Electronics

      Vol:
    E90-C No:11
      Page(s):
    2161-2163

    A new BIST (Built-in Self-test) method for static ADC testing is proposed. The proposed method detects offset, gain, INL (Integral Non-linearity) and DNL (Differential Non-linearity) errors with a low hardware overhead. Moreover, it can solve a transient zone problem which is derived from the ADC noise in real test environments.

  • Rapid Assembly Technique for Optical Connector

    Shuichi YANAGI  Masaru KOBAYASHI  Shigeru HOSONO  Ryo NAGASE  Shinsuke MATSUI  Shigehisa OHKI  

     
    PAPER-Optical Interconnection

      Vol:
    E89-C No:8
      Page(s):
    1227-1232

    We have developed an optical connector assembly method that allows the rapid on-site installation of an optical connector. To simplify this on-site assembly process we fabricated built-in parts that enable us to install the optical connector using pre-assembled optical connector parts. Moreover, we have established an advanced method for applying a solidifying agent that adheres to the inner wall of a ferrule flange. With our assembly method, we can complete on-site optical connector installation, other than the polishing process, in two steps, namely bonding agent application and fiber insertion.

  • Power-Constrained Test Synthesis and Scheduling Algorithms for Non-Scan BIST-able RTL Data Paths

    Zhiqiang YOU  Ken'ichi YAMAGUCHI  Michiko INOUE  Jacob SAVIR  Hideo FUJIWARA  

     
    PAPER-Dependable Computing

      Vol:
    E88-D No:8
      Page(s):
    1940-1947

    This paper proposes two power-constrained test synthesis schemes and scheduling algorithms, under non-scan BIST, for RTL data paths. The first scheme uses boundary non-scan BIST, and can achieve low hardware overheads. The second scheme uses generic non-scan BIST, and can offer some tradeoffs between hardware overhead, test application time and power dissipation. A designer can easily select an appropriate design parameter based on the desired tradeoff. Experimental results confirm the good performance and practicality of our new approaches.

1-20hit(40hit)