The search functionality is under construction.

Keyword Search Result

[Keyword] CMOS(604hit)

61-80hit(604hit)

  • Design, Analysis and Implementation of Pulse Generator by CMOS Flipped on Glass for Low Power UWB-IR

    Parit KANJANAVIROJKUL  Nguyen NGOC MAI-KHANH  Tetsuya IIZUKA  Toru NAKURA  Kunihiro ASADA  

     
    PAPER

      Vol:
    E100-A No:1
      Page(s):
    200-209

    This paper discusses a pulse generator implemented by CMOS flipped on a glass substrate aiming at low power applications with low duty cycle. The pulse generator is theoretically possible to generate a pulse at a frequency near and beyond Fmax. It also features a quick starting time and zero stand-by power. By using a simplified circuit model, analytical expressions for Q factor, energy conversion efficiency, output energy, and oscillation frequency of the pulse generator are derived. Pulse generator prototypes are designed on a 0.18 μm CMOS chip flipped over a transmission line resonator on a glass substrate. Measurement results of two different prototypes confirm the feasibility of the proposed circuit and the analytical model.

  • Low-Temperature Polycrystalline-Silicon Thin-Film Transistors Fabricated by Continuous-Wave Laser Lateral Crystallization and Metal/Hafnium Oxide Gate Stack on Nonalkaline Glass Substrate

    Tatsuya MEGURO  Akito HARA  

     
    PAPER-Semiconductor Materials and Devices

      Vol:
    E100-C No:1
      Page(s):
    94-100

    Enhancing the performance of low-temperature (LT) polycrystalline-silicon (poly-Si) thin-film transistors (TFTs) requires high-quality poly-Si films. One of the authors (A.H.) has already demonstrated a continuous-wave (CW) laser lateral crystallization (CLC) method to improve the crystalline quality of thin poly-Si films, using a diode-pumped solid-state CW laser. Another candidate method to increase the on-current and decrease the subthreshold swing (s.s.) is the use of a high-k gate stack. In this paper, we discuss the performance of top-gate CLC LT poly-Si TFTs with sputtering metal/hafnium oxide (HfO2) gate stacks on nonalkaline glass substrates. A mobility of 180 cm2/Vs is obtained for n-ch TFTs, which is considerably higher than those of previously reported n-ch LT poly-Si TFTs with high-k gate stacks; it is, however, lower than the one obtained with a plasma enhanced chemical vapor deposited SiO2 gate stack. For p-ch TFTs, a mobility of 92 cm2/Vs and an s.s. of 98 mV/dec were obtained. This s.s. value is smaller than the ones of the previously reported p-ch LT poly-Si TFTs with high-k gate stacks. The evaluation of a fabricated complementary metal-oxide-semiconductor inverter showed a switching threshold voltage of 0.8 V and a gain of 38 at an input voltage of 2.0 V; moreover, full swing inverter operation was successfully confirmed at the low input voltage of 1.0 V. This shows the feasibility of CLC LT poly-Si TFTs with a sputtered HfO2 gate dielectric on nonalkaline glass substrates.

  • Characterizing Silicon Avalanche Photodiode Fabricated by Standard 0.18µm CMOS Process for High-Speed Operation

    Zul Atfyi Fauzan Mohammed NAPIAH  Ryoichi GYOBU  Takuya HISHIKI  Takeo MARUYAMA  Koichi IIYAMA  

     
    PAPER-Lasers, Quantum Electronics

      Vol:
    E99-C No:12
      Page(s):
    1304-1311

    nMOS-type and pMOS-type silicon avalanche photodiodes (APDs) were fabricated by standard 0.18µm CMOS process, and the current-voltage characteristic and the frequency response of the APDs with and without guard ring structure were measured. The role of the guard ring is cancellation of photo-generated carriers in a deep layer and a substrate. The bandwidth of the APD is enhanced with the guard ring structure at a sacrifice of the responsivity. Based on comparison of nMOS-type and pMOS-type APDs, the nMOS-type APD is more suitable for high-speed operation. The bandwidth is enhanced with decreasing the spacing of interdigital electrodes due to decreased carrier transit time and with decreasing the detection area and the PAD size for RF probing due to decreased device capacitance. The maximum bandwidth was achieved with the avalanche gain of about 10. Finally, we fabricated a nMOS-type APD with the electrode spacing of 0.84µm, the detection area of 10×10µm2, the PAD size for RF probing of 30×30µm2, and with the guard ring structure. The maximum bandwidth of 8.4GHz was achieved along with the gain-bandwidth product of 280GHz.

  • Compact 141-GHz Differential Amplifier with 20-dB Peak Gain and 22-GHz 3-dB Bandwidth

    Shinsuke HARA  Kosuke KATAYAMA  Kyoya TAKANO  Issei WATANABE  Norihiko SEKINE  Akifumi KASAMATSU  Takeshi YOSHIDA  Shuhei AMAKAWA  Minoru FUJISHIMA  

     
    PAPER

      Vol:
    E99-C No:10
      Page(s):
    1156-1163

    This paper presents a wideband differential amplifier operating at 141GHz in 40-nm CMOS. It is composed of five differential common source stages with cross-coupled capacitors. A small-signal gain of 20dB and a 3-dB bandwidth of 22GHz are achieved. It consumes 75mW from a 0.94-V voltage supply. The die area with balun and pads is 945×842µm2 and the size of the core not including input/output matching networks is 201×284µm2. The small core area is made possible by using a refined “fishbone” layout technique.

  • A Wideband Asymmetric Digital Predistortion Architecture for 60 GHz Short Range Wireless Transmitters

    Kenji MIYANAGA  Masashi KOBAYASHI  Noriaki SAITO  Naganori SHIRAKATA  Koji TAKINAMI  

     
    PAPER

      Vol:
    E99-C No:10
      Page(s):
    1190-1199

    This paper presents a wideband digital predistortion (DPD) architecture suitable for wideband wireless systems, such as IEEE 802.11ad/WiGig, where low oversampling ratio of the digital-to-analog converter (DAC) is a bottleneck for available linearization bandwidth. In order to overcome the bandwidth limitation in the conventional DPD, the proposed DPD introduces a complex coefficient filter in the DPD signal processing, which enables it to achieve asymmetric linearization. This approach effectively suppresses one side of adjacent channel leakages with twice the bandwidth as compared to the conventional DPD. The concept is verified through system simulation and measurements. Using a scaled model of a 2 GHz RF carrier frequency, the measurement shows a 4.2 dB advantage over the conventional DPD in terms of adjacent channel leakage.

  • A 10-bit 6.8-GS/s Direct Digital Frequency Synthesizer Employing Complementary Dual-Phase Latch-Based Architecture

    Abdel MARTINEZ ALONSO  Masaya MIYAHARA  Akira MATSUZAWA  

     
    PAPER

      Vol:
    E99-C No:10
      Page(s):
    1200-1210

    This paper introduces a novel Direct Digital Frequency Synthesizer based on Complementary Dual-Phase Latch-Based sequencing method. Compared to conventional Direct Digital Frequency Synthesizer using Flip-Flop as synchronizing element, the proposed architecture allows to double the data sampling rate while trading-off area and Power Efficiency. Digital domain modulations can be easily implemented by using a Direct Digital Frequency Synthesizer. However, due to performance limitations, CMOS-based applications have been almost exclusively restricted to VHF, UHF and L bands. This work aims to increase the operation speed and extend the applicability of this technology to Multi-band Multi-standard wireless systems operating up to 2.7 GHz. The design features a 24 bits pipelined Phase Accumulator and a 14x10 bits Phase to Amplitude Converter. The Phase to Amplitude Converter module is compressed by using Quarter Wave Symmetry technique and is entirely made up of combinational logic inserted into 12 Complementary Dual-Phase Latch-Based pipeline stages. The logic is represented in the form of Sum of Product terms obtained from a 14x10 bits sinusoidal Look-Up-Table. The proposed Direct Digital Frequency Synthesizer is designed and simulated based on 65nm CMOS standard-cell technology. A maximum data sampling rate of 6.8 GS/s is expected. Estimated Spurious Free Dynamic Range and Power Efficiency are 61 dBc and 22 mW/(GS/s) respectively.

  • A 50-Gb/s Optical Transmitter Based on a 25-Gb/s-Class DFB-LD and a 0.18-µm SiGe BiCMOS LD Driver

    Takashi TAKEMOTO  Yasunobu MATSUOKA  Hiroki YAMASHITA  Takahiro NAKAMURA  Yong LEE  Hideo ARIMOTO  Tatemi IDO  

     
    PAPER-Optoelectronics

      Vol:
    E99-C No:9
      Page(s):
    1039-1047

    A 50-Gb/s optical transmitter, consisting of a 25-Gb/s-class lens-integrated DFB-LD (with -3-dB bandwidth of 20GHz) and a LD-driver chip based on 0.18-µm SiGe BiCMOS technology for inter and intra-rack transmissions, was developed and tested. The DFB-LD and LD driver chip are flip-chip mounted on an alumina ceramic package. To suppress inter-symbol interference due to a shortage of the DFB-LD bandwidth and signal reflection between the DFB-LD and the package, the LD driver includes a two-tap pre-emphasis circuit and a high-speed termination circuit. Operating at a data rate of 50Gb/s, the optical transmitter enhances LD bandwidth and demonstrated an eye opening with jitter margin of 0.23UI. Power efficiency of the optical transmitter at a data rate of 50Gb/s is 16.2mW/Gb/s.

  • 4.5-dB CMOS Forward Coupler Incorporating Asymmetric Left-Handed Coupled Lines at 430 GHz

    GuangFu LI  Hsien-Shun WU  Ching-Kuang C. TZUANG  

     
    PAPER-Microwaves, Millimeter-Waves

      Vol:
    E99-C No:7
      Page(s):
    849-855

    An asymmetric left-handed coupled-line is presented to implement the tight forward coupler. Two left-handed transmission lines are coupled through its shunt inductors. The numerical procedures based on the generalized four-port scattering parameters combined with the periodical boundary conditions are applied to extract the modal characteristics of the asymmetric coupled-line, and theoretically predict that the proposed coupled-line can make a normalized phase constant of c mode 1.57 times larger than π mode for the forward coupler miniaturization. The design curves based on different overlapping length of the shunt inductors are reported for the coupler design. The procedures, so-called the port-reduction-method (PRM), are applied to experimentally characterize the coupler prototype using the two-port instruments. The measured results confirm that prototype uses 0.21 λg at 430 GHz to achieve -4.55 dB forward coupling with 13% 1-dB operating bandwidth.

  • A 60 GHz Hybrid Analog/Digital Beamforming Receiver with Interference Suppression for Multiuser Gigabit/s Radio Access

    Koji TAKINAMI  Hiroyuki MOTOZUKA  Tomoya URUSHIHARA  Masashi KOBAYASHI  Hiroshi TAKAHASHI  Masataka IRIE  Takenori SAKAMOTO  Yohei MORISHITA  Kenji MIYANAGA  Takayuki TSUKIZAWA  Noriaki SAITO  Naganori SHIRAKATA  

     
    PAPER-Microwaves, Millimeter-Waves

      Vol:
    E99-C No:7
      Page(s):
    856-865

    This paper presents a 60 GHz analog/digital beamforming receiver that effectively suppresses interference signals, targeting the IEEE 802.11ad/WiGig standard. Combining two-stream analog frontends with interference rejection digital signal processing, the analog beamforming steers the antenna beam to the desired direction while the digital beamforming provides gain suppression in the interference direction. A prototype has been built with 40 nm CMOS analog frontends as well as offline baseband digital signal processing. Measurements show a 3.1 dB EVM advantage over conventional two-stream diversity during a packet collision situation.

  • A New High-Density 10T CMOS Gate-Array Base Cell for Two-Port SRAM Applications

    Nobutaro SHIBATA  Yoshinori GOTOH  Takako ISHIHARA  

     
    PAPER-Integrated Electronics

      Vol:
    E99-C No:6
      Page(s):
    717-726

    Two-port SRAMs are frequently installed in gate-array VLSIs to implement smart functions. This paper presents a new high-density 10T CMOS base cell for gate-array-based two-port SRAM applications. Using the single base cell alone, we can implement a two-port memory cell whose bitline contacts are shared with the memory cell adjacent to one of two dedicated sides, resulting in greatly reduced parasitic capacitance in bitlines. To throw light on the total performance derived from the base cell, a plain two-port SRAM macro was designed and fabricated with a 0.35-µm low cost, logic process. Each of two 10-bit power-saved address decoders was formed with 36% fewer base cells by employing complex gates and a subdecoder. The new sense amplifier with a complementary sensing scheme had a fine sensitivity of 35 mVpp, and so we successfully reduced the required read bitline signal from 250 to 70 mVpp. With the macro with 1024 memory cells per bitline, the address access time under typical conditions of a 2.5-V power supply and 25°C was 4.0 ns (equal to that obtained with full-custom style design) and the power consumption at 200-MHz simultaneous operations of two ports was 6.7 mW for an I/O-data width of 1 bit.

  • Well-Shaped Microelectrode Array Structure for High-Density CMOS Amperometric Electrochemical Sensor Array

    Kiichi NIITSU  Tsuyoshi KUNO  Masayuki TAKIHI  Kazuo NAKAZATO  

     
    BRIEF PAPER

      Vol:
    E99-C No:6
      Page(s):
    663-666

    In this study, a well-shaped microelectrode array (MEA) for fabricating a high-density complementary metal-oxide semiconductor amperometric electrochemical sensor array was designed and verified. By integrating an auxiliary electrode with the well-shaped structure of the MEA, the footprint was reduced and high density and high resolution were also achieved. The results of three-dimensional electrochemical simulations confirmed the effectiveness of the proposed MEA structure and possibility of increasing the density to four times than that achieved by the conventional two-dimensional structure.

  • Pseudo-CMOS with Re-Pull-Down Transistor: A Low Power Inverter Design for Thin-Film Transistors

    Lihao ZHONG  Ruohe YAO  Fei LUO  

     
    BRIEF PAPER-Electronic Circuits

      Vol:
    E99-C No:6
      Page(s):
    727-729

    In order to further optimize the power consumption of Pseudo-CMOS inverter, this paper proposes a Re-Pull-Down transistor scheme. Two additional transistors are used to build another pull-down network. With this design, the quiescent current of the inverter can be reduced while the ratioless nature is preserved. Based on the reduced input gate area, two output transistors are set wider to compensate for the pull-up speed. The simulation result shows that, compared with Pseudo-CMOS inverter, the maximum quiescent current of the Re-Pull-Down transistor scheme inverter is reduced by 37.6% in the static analysis. Besides, the average power consumption is reduced by 30.8% in the 5-stage ring oscillator test.

  • A Design of 0.7-V 400-MHz All-Digital Phase-Locked Loop for Implantable Biomedical Devices

    Jungnam BAE  Saichandrateja RADHAPURAM  Ikkyun JO  Weimin WANG  Takao KIHARA  Toshimasa MATSUOKA  

     
    PAPER

      Vol:
    E99-C No:4
      Page(s):
    431-439

    A low-voltage controller-based all-digital phase-locked loop (ADPLL) utilized in the medical implant communication service (MICS) frequency band was designed in this study. In the proposed design, controller-based loop topology is used to control the phase and frequency to ensure the reliable handling of the ADPLL output signal. A digitally-controlled oscillator with a delta-sigma modulator was employed to achieve high frequency resolution. The phase error was reduced by a phase selector with a 64-phase signal from the phase interpolator. Fabricated using a 130-nm CMOS process, the ADPLL has an active area of 0.64 mm2, consumes 840 µW from a 0.7-V supply voltage, and has a settling time of 80 µs. The phase noise was measured to be -114 dBc/Hz at an offset frequency of 200 kHz.

  • Photoplethysmography Measurement Algorithm for a Smartphone Camera

    Sangjoon LEE  Chul Geun PARK  Kuk Won KO  

     
    PAPER

      Vol:
    E99-B No:3
      Page(s):
    586-591

    In this study, we propose a method for measuring a photoplethysmograph using a complementary metal-oxide-semiconductor image sensor (CMOS) or smartphone camera for the adaptation of a mobile health (m-health) services. The proposed algorithm consists of six procedures. Before measuring the photoplethysmograph, the human fingertip must make contact with the smartphone camera lens and turn on the camera light. The first procedure converts the red-green-blue (RGB) to a gray image from a camera image, Then, region of interest (ROI) must be detected from the obtained image. The third procedure calculates the baseline level to reduce direct current (DC) offset effect, before extracting the photoplethysmograph from the camera image. The baseline is filtered, and the last step oversamples the resulting baseline filtered data using cubic spline interpolation. The proposed algorithm has been tested on six people using CMOS image sensors of several smartphones, which can effectively acquire a PPG signal in any situation. We believe that the proposed algorithm could easily be adapted into any m-health system that used a CMOS image sensor.

  • A SOI Cache-Tag Memory with Dual-Rail Wordline Scheme

    Nobutaro SHIBATA  Takako ISHIHARA  

     
    PAPER-Integrated Electronics

      Vol:
    E99-C No:2
      Page(s):
    316-330

    Cache memories are the major application of high-speed SRAMs, and they are frequently installed in high performance logic VLSIs including microprocessors. This paper presents a 4-way set-associative, SOI cache-tag memory. To obtain higher operating speed with less power dissipation, we devised an I/O-separated memory cell with a dual-rail wordline, which is used to transmit complementary selection signals. The address decoding delay was shortened using CMOS dual-rail logic. To enhance the maximum operating frequency, bitline's recovery operations after writing data were eliminated using a memory array configuration without half-selected cells. Moreover, conventional, sensitive but slow differential amplifiers were successfully removed from the data I/O circuitry with a hierarchical bitline scheme. As regards the stored data management, we devised a new hardware-oriented LRU-data replacement algorithm on the basis of 6-bit directed graph. With the experimental results obtained with a test chip fabricated with a 0.25-µm CMOS/SIMOX process, the core of the cache-tag memory with a 1024-set configuration can achieve a 1.5-ns address access time under typical conditions of a 2-V power supply and 25°C. The power dissipation during standby was less than 14 µW, and that at the 500-MHz operation was 13-83 mW, depending on the bit-stream data pattern.

  • CMOS-Based Optoelectronic On-Chip Neural Interface Device Open Access

    Takashi TOKUDA  Hiroaki TAKEHARA  Toshihiko NODA  Kiyotaka SASAGAWA  Jun OHTA  

     
    INVITED PAPER

      Vol:
    E99-C No:2
      Page(s):
    165-172

    On-chip neural interface devices based on CMOS image sensor technology are proposed and demonstrated. The devices were designed with target applications to optogenetics in bioscience. Multifunctional CMOS image sensors equipped with an addressable on-chip electrode array were integrated with a functional interface chip that contained embedded GaInN light emitting diodes (LEDs) and electrodes to create a neural interface. Detailed design information regarding the CMOS sensor chip and the functional interface chip including the packaging structure and fabrication processes are presented in this paper. The on-chip optical stimulation functionality was demonstrated in an in vitro experiment using neuron-like cells cultured on the proposed device.

  • A High-Speed Column-Parallel Time-Digital Single-Slope ADC for CMOS Image Sensors

    Nan LYU  Ning Mei YU  He Jiu ZHANG  

     
    LETTER

      Vol:
    E99-A No:2
      Page(s):
    555-559

    This letter presents a new time-digital single-slope ADC (TDSS) architecture for CMOS image sensors. In the proposed ADC, a conventional single-slope ADC is used in coarse phase and a time to digital convertor is employed in fine phase. Through second comparison of the two different slope voltages (discharge input voltage and ramp voltage), the proposed ADC achieves low bit precision compensation. Compared with multiple-ramp single-slope (MRSS) ADC, the proposed ADC not only has a simple digital judgment circuit, but also increases conversion speed without complicated structure of ramp generator. A 10-bit TDSS ADC consisting of 7-bit conventional single-slope ADC and 3-bit time to digital converter was realized in a 0.13µm CIS process. Simulations demonstrate that the conversion speed of a TDSS ADC is almost 3.5 times faster than that of a single-slope ADC.

  • A Design of 0.7-V 400-MHz Digitally-Controlled Oscillator

    Jungnam BAE  Saichandrateja RADHAPURAM  Ikkyun JO  Takao KIHARA  Toshimasa MATSUOKA  

     
    PAPER-Integrated Electronics

      Vol:
    E98-C No:12
      Page(s):
    1179-1186

    We present a low-voltage digitally-controlled oscillator (DCO) with the third-order ΔΣ modulator utilized in the medical implant communication service (MICS) frequency band. An optimized DCO core operating in the subthreshold region is designed, based on the gm/ID methodology. Thermometer coder with the dynamic element matching and ΔΣ modulator are implemented for the frequency tuning. High frequency resolution is achieved by using the ΔΣ modulator. The ΔΣ-modulator-based LC-DCO implemented in a 130-nm CMOS technology has achieved the phase noise of -115.3 dBc/Hz at 200 kHz offset frequency with the tuning range of 382 MHz to 412 MHz for the MICS band. It consumes 700 µW from a 0.7-V supply voltage and has a high frequency resolution of 18 kHz.

  • Tehrahertz CMOS Design for Low-Power and High-Speed Wireless Communication Open Access

    Minoru FUJISHIMA  Shuhei AMAKAWA  Kyoya TAKANO  Kosuke KATAYAMA  Takeshi YOSHIDA  

     
    INVITED PAPER

      Vol:
    E98-C No:12
      Page(s):
    1091-1104

    There have recently been more and more reports on CMOS integrated circuits operating at terahertz (≥ 0.1THz) frequencies. However, design environments and techniques are not as well established as for RF CMOS circuits. This paper reviews recent progress made by the authors in terahertz CMOS design for low-power and high-speed wireless communication, including device characterization and modeling techniques. Low-power high-speed wireless data transfer at 11Gb/s and 19pJ/bit and a 7-pJ/bit ultra-low-power transceiver chipset are presented.

  • A 5-GHz Band WLAN SiGe HBT Power Amplifier IC with Novel Adaptive-Linearizing CMOS Bias Circuit

    Xin YANG  Tsuyoshi SUGIURA  Norihisa OTANI  Tadamasa MURAKAMI  Eiichiro OTOBE  Toshihiko YOSHIMASU  

     
    PAPER-Active Circuits/Devices/Monolithic Microwave Integrated Circuits

      Vol:
    E98-C No:7
      Page(s):
    651-658

    This paper presents a novel CMOS bias topology serving as not only a bias circuit but also an adaptive linearizer for SiGe HBT power amplifier (PA) IC. The novel bias circuit can well keep the base-to-emitter voltage (Vbe) of RF amplifying HBT constant and adaptively increase the base current (Ib) with the increase of the input power. Therefore, the gain compression and phase distortion performance of the PA is improved. A three-stage 5-GHz band PA IC with the novel bias circuit for WLAN applications is designed and fabricated in IBM 0.35µm SiGe BiCMOS technology. Under 54Mbps OFDM signal at 5.4GHz, the PA IC exhibits a measured small-signal gain of 29dB, an EVM of 0.9% at 17dBm output power and a DC current consumption of 284mA.

61-80hit(604hit)