The search functionality is under construction.
The search functionality is under construction.

Keyword Search Result

[Keyword] SIMOX(22hit)

1-20hit(22hit)

  • A SOI Cache-Tag Memory with Dual-Rail Wordline Scheme

    Nobutaro SHIBATA  Takako ISHIHARA  

     
    PAPER-Integrated Electronics

      Vol:
    E99-C No:2
      Page(s):
    316-330

    Cache memories are the major application of high-speed SRAMs, and they are frequently installed in high performance logic VLSIs including microprocessors. This paper presents a 4-way set-associative, SOI cache-tag memory. To obtain higher operating speed with less power dissipation, we devised an I/O-separated memory cell with a dual-rail wordline, which is used to transmit complementary selection signals. The address decoding delay was shortened using CMOS dual-rail logic. To enhance the maximum operating frequency, bitline's recovery operations after writing data were eliminated using a memory array configuration without half-selected cells. Moreover, conventional, sensitive but slow differential amplifiers were successfully removed from the data I/O circuitry with a hierarchical bitline scheme. As regards the stored data management, we devised a new hardware-oriented LRU-data replacement algorithm on the basis of 6-bit directed graph. With the experimental results obtained with a test chip fabricated with a 0.25-µm CMOS/SIMOX process, the core of the cache-tag memory with a 1024-set configuration can achieve a 1.5-ns address access time under typical conditions of a 2-V power supply and 25°C. The power dissipation during standby was less than 14 µW, and that at the 500-MHz operation was 13-83 mW, depending on the bit-stream data pattern.

  • Advanced SOI MOSFET's with Strained-Si/SiGe Heterostructures

    Tomohisa MIZUNO  Naoharu SUGIYAMA  Atsushi KUROBE  Shin-ichi TAKAGI  

     
    INVITED PAPER-SiGe HBTs & FETs

      Vol:
    E84-C No:10
      Page(s):
    1423-1430

    We have developed advanced SOI n- and p-MOSFETs with strained-Si channel on insulator (strained-SOI) structure fabricated by SIMOX (separation-by-implanted-oxygen) technology. The characteristics of this strained-SOI substrate and electrical properties of strained-SOI MOSFET's have been experimentally studied. Using strained-Si/relaxed-SiGe epitaxy technology and usual SIMOX process, we have successfully formed the layered structure of fully-strained-Si (20 nm)/fully-relaxed-SiGe film (290 nm) on uniform buried oxide layer (85 nm) inside SiGe layer. Good drain current characteristics have been obtained in strained-SOI MOSFET's. It is found that both electron and hole mobility is enhanced in strained-SOI MOSFET's, compared to the universal mobility in an inversion layer and the mobility of control SOI MOSFET's. These mobility enhancement factors are almost the same as the theoretical results.

  • A Low-Voltage 6-GHz-Band CMOS Monolithic LC-Tank VCO Using a Tuning-Range Switching Technique

    Akihiro YAMAGISHI  Tsuneo TSUKAHARA  Mitsuru HARADA  Junichi KODATE  

     
    LETTER

      Vol:
    E84-A No:2
      Page(s):
    559-562

    A low-voltage 6-GHz-band monolithic LC-tank VCO has been fabricated using 0.2-µm CMOS/SIMOX process technology. The VCO features a tuning-range switching technique to achieve a wide tuning range. The output frequency range is between 5.71 and 6.21 GHz owing to the tuning-range switch. With the tuning-range switch on or off, the phase noise is about -100 dBc/Hz at 1-MHz offset and about -120 dBc/Hz at 10-MHz offset frequency at the supply voltage of 2 V.

  • Energy-Reduction Effect of Ultralow-Voltage MTCMOS/SIMOX Circuits Using a Graph with Equispeed and Equienergy Lines

    Takakuni DOUSEKI  Toshishige SHIMAMURA  Koji FUJII  Junzo YAMADA  

     
    PAPER

      Vol:
    E83-C No:2
      Page(s):
    212-219

    This paper describes the effect of lowering the supply voltage and threshold voltages on the energy reduction of an ultralow-voltage multi-threshold CMOS/SIMOX (MTCMOS/SIMOX) circuit. The energy dissipation is evaluated using a graph with equispeed and equienergy lines on a supply voltage and a threshold voltage plane. In order to draw equispeed and equienergy lines for ultralow-voltage circuits, we propose a modified energy-evaluation model taking into account a input-waveform transition-time of the circuits. The validity of the proposed energy-evaluation model is confirmed by the evaluation of a gate-chain TEG and a 16-bit CLA adder fabricated with 0.25-µm MTCMOS/SIMOX technology. Using the modified model, the energy-reduction effect in lowering the supply voltage is evaluated for a single-Vth fully-depleted CMOS/SOI circuit, a dual-Vth CMOS circuit consisting of fully-depleted low- and medium-Vth MOSFETs, and a triple-Vth MTCMOS/SIMOX circuit. The evaluation reveals that lowering the supply voltage of the MTCMOS/SIMOX circuit to 0.5 V is advantageous for the energy reduction at a constant operating speed.

  • A 0.25 µm CMOS/SIMOX PLL Clock Generator Embedded in a Gate Array LSI with a Locking Range of 5 to 500 MHz

    Hiroki SUTOH  Kimihiro YAMAKOSHI  

     
    PAPER-Integrated Electronics

      Vol:
    E82-C No:7
      Page(s):
    1334-1340

    This paper describes a wide-frequency-range phase-locked-loop (PLL) clock generator embedded in a gate array LSI using 0.25 µm CMOS/SIMOX technology. The four ratios of internal clock frequency to external clock frequency this generator supports are 2, 4, 8, and 16. The PLL has two kinds of voltage-controlled oscillators that are selected automatically according to the frequency so as to widen the operating frequency range while keeping jitter low. Measured results show that the PLL operates with a lock range from 5 to 500 MHz. At 500 MHz, the peak-to-peak jitter is 50 ps. The supply voltage is 2 V and power dissipation is less than 14 mW. At a supply voltage of 2 V, the maximum operating frequency of 0.25 µm CMOS/SIMOX PLL is 30% higher than that of 0.25 µm bulk CMOS PLL.

  • Low-Power and High-Speed LSIs Using 0.25-µm CMOS/SIMOX

    Masayuki INO  

     
    INVITED PAPER

      Vol:
    E80-C No:12
      Page(s):
    1532-1538

    Various high-performance SOI CMOS circuits were fabricated using fully-depleted 0.25-µm gate MOSFETs on a low-dose SIMOX substrate. 2.4-Gbps operations were achieved for I/O and speed conversion circuits which are key elements in a multimedia communication LSI. LVTTL-compatible gate array LSI was developed with an ESD protection circuit which is the first one to meer the MIL standard. A 120-kG test LSI was fabricated on the gate array, and the LSI performances using three kind of technologies; 0.25-µm bulk and SIMOX and 0.5-µm bulk; were compared. A 0.25-µm SIMOX LSI was 10% faster with 35% less power dissipation compared with a 0.25-µm bulk LSI. The 0.25-µm SIMOX LSI can operate at a VDD of 1.2 V to attain the same speed as the 0.5-µm bulk LSI operating at 3.3 V, and this results in 1/40 power reduction. For the high-speed communication use, an ATM-switch LSI with 220-kG and a 110-kb memory was fabricated. A high-performance of 2.5-Gbps interface speed and 312-Mbps internal speed were achieved using 0.25-µm CMOS/SIMOX. This ATM-switch LSI has the greatest bandwidth of 40-Gbps ever reported using a one-chip ATM-switch LSI.

  • Analysis of the Delay Distributions of 0.5 µm SOI LSIs

    Toshiaki IWAMATSU  Takashi IPPOSHI  Yasuo YAMAGUCHI  Kimio UEDA  Koichiro MASHIKO  Shigeto MAEGAWA  Yasuo INOUE  Tadashi HIRAO  Tdashi NISHIMURA  Akihiko YASUOKA  

     
    PAPER

      Vol:
    E80-C No:3
      Page(s):
    464-471

    A high-speed silicon-on-insulator (SOI) of a 1/8 frequency divider and a 64-bit adder were realized using an optimized gate-overlapped LDD and a self-aligned titanium silicide (TiSi2) source-drain structure. The advantages of the delay time and power consumption were analyzed by circuit simulation. The maximum operation frequency of the SOI divider is 2.9 GHz at 3.3 V. The SOI divider operates about 1.6 times faster than the bulk-Si divider. The power consumption of the SOI divider at the maximum operating frequency is about 60% of that of the bulk divider. On the other hand, the speed of the SOI adder is 1.9 nsec at 3.3 V. The SOI adder speed is about 1.3 times faster than the bulk adder. The power consumption of the SOI adder is about 80% of that of the bulk divider. It was found that the high speed, low power features of the SOI divider were due to the pass transistor which had low junction capacitance and little substrate bias effects, in addition to the low wiring capacitance and low fanout capacitance compared to the bulk adder. As a result, it is suggested that SOI circuits using pass transistor have a potential for GHz level systems and it is expected they will be applied to handy communication systems and portable computers used in the multimedia era.

  • An Advanced Shallow SIMOX/CMOS Technology for High Performance Portable Systems

    Alberto O. ADAN  Toshio NAKA  Seiji KANEKO  Daizo URABE  Kenichi HIGASHI  Yasumori FUKUSHIMA  Soshu TAKAMATSU  Shogo HIDESHIMA  Atsushi KAGISAWA  

     
    PAPER

      Vol:
    E80-C No:3
      Page(s):
    407-416

    A 0.35 µm CMOS process for low-voltage, high-performance applications implemented in an ultra-thin-film SIMOX wafer: Shallow SIMOX, is described. Fully Depleted CMOS devices are realized in a 50 nm thick top Si film. Stable high speed, low-Vth transistors for low-voltage operation were developed by integrating a salicided dual gate process. Short-channel effects are suppressed by a novel channel-drain profile engineering. Low power consumption is achieved by the reduced diffusion capacitance of the SIMOX device and a thick, CMP planarized, intermetal dielectric to reduce metal interconnect capacitance's. Compared with the Bulk-Si CMOS devices, a factor of 1/5 reduction on power dissipation is achieved with this technology. A high ESD strength of 4 kV (HBM) demonstrates the applicability of this technology in advanced high-performance products.

  • The Potential of Ultrathin-Film SOI Devices for Low-Power and High-Speed Applications

    Yuichi KADO  

     
    INVITED PAPER-Circuit Technologies and Applications

      Vol:
    E80-C No:3
      Page(s):
    443-454

    For low-voltage, high-speed operation of LSIs, the most attractive features in fully-depleted (FD) SOI devices are their steep subthreshold slope and reduced drain junction capacitance. This paper discusses the impact of these features on circuit performance. FD SOI devices can have a threshold voltage of more than 100 mV lower than that of bulk devices within the limits of acceptable off-state leakage current. Thus they hold higher driving current even at supply voltages of less than 1 V. On the other hand, the reduced junction capacitance is effective to suppress the total parasitic capacitance especially in lightly loaded CMOS circuits. These attractive features improve the speed performance in FD SOI circuits remarkably at supply voltages of less than 1 V. For high-speed circuit applications, 0.25-µm-gate SIMOX circuits, such as frequency dividers, prescalers, MUX, and DEMUX, can operate at up to 1-2 GHz even at a supply voltage of 1 V. CMOS/SIMOX logic LSIs also exhibit better performance at very low supply voltages. At merely 1 V, a SIMOX logic LSI could be functional at up to 60-90 MHz using 0.26-0.34 µW/MHz/Gate of power dissipation. Furthermore, SIMOX logic LSIs will allow 20-30 MHz operation at 0.5 V of a solar cell with reasonable chip size. These investigations lead to the conclusion that FD CMOS/SIMOX technology will have a large impact on the development of low-voltage high-performance LSIs for portable digital equipment and telecommunication systems.

  • High-Quality Low-Dose SIMOX Wafers

    Sadao NAKASHIMA  

     
    INVITED PAPER-Wafer Technologies

      Vol:
    E80-C No:3
      Page(s):
    364-369

    This paper reviews the structure and electrical properties of high-quality Internal Thermal OXidation (ITOX)-processed low-dose Separation by IMplanted OXygen (SIMOX) wafers. The ITOX SIMOX process consists of three steps: low-dose oxygen implantation, high-temperature annealing, and high-temperature oxidation. The low dose makes possible a high-throughput production of SIMOX wafers. The high-temperature annealing provides a continuous buried oxide layer and reduces the dislocation density in the top silicon layer. The subsequent high-temperature oxidation thickens the buried oxide layer without any additional oxygen implantation, thus improving its electrical properties. The ITOX mechanism is also described. It is concluded that the ITOX SIMOX wafers are very useful for fabricating ULSIs.

  • Features of Ultimately Miniaturized MOSFETs/SOI: A New Stage in Device Physics and Design Concepts

    Yasuhisa OMURA  

     
    INVITED PAPER-Device and Process Technologies

      Vol:
    E80-C No:3
      Page(s):
    394-406

    This paper describes what happens when the silicon layer is extremely thinned. The discussion shows that quantum mechanical short-channel effects impose limits on the down-scaling of MOSFET/SOI devices. However, thinning the silicon layer should bring new possibilities such as mobility enhancement, velocity overshoot enhancement, suppression of band-to-band tunneling, suppression of impact ionization and so on. Furthermore, the non-stationary energy transport in extremely miniaturized ultra-thin MOSFET/SOI devices is also addressed from the viewpoint of hot-carrier immunity. Related device physics are also discussed in order to consider the design methodology for contemporary MOSFET/SOI devices and new device applications for the future.

  • Features of SOI DRAM's and their Potential for Low-Voltage and/or Giga-Bit Scale DRAM's

    Yasuo YAMAGUCHI  Toshiyuki OASHI  Takahisa EIMORI  Toshiaki IWAMATSU  Shouichi MITAMOTO  Katsuhiro SUMA  Takahiro TSURUDA  Fukashi MORISHITA  Masakazu HIROSE  Hideto HIDAKA  Kazutami ARIMOTO  Kazuyasu FUJISHIMA  Yasuo INOUE  Tadashi NISHIMURA  Hirokazu MIYOSHI  

     
    INVITED PAPER-Dynamic RAMs

      Vol:
    E79-C No:6
      Page(s):
    772-780

    SOI DRAM's are candidates for giga-bit scale DRAM's due to the inherent features of SOI structure, and are also desired to be used as low-voltage memories which will be used in portable systems in the forthcoming multimedia era. However, some drawbacks are also anticipated owing to floating substrate effects. In this report, the advantages and problems concerning SOI DRAM's were reconsidered by evaluation of our test devices and also by analysis with device and circuit simulators for their future prospects. The following advantages of SOI DRAM's were verified. Low-voltage operation, active current reduction and speed gain were obtained by the reduced junction capacitance and the back-gate-bias effect. Static refresh characteristics were improved due to the reduced junction area. Soft error immunity was improved greatly by the complete isolation of the active region when the body potential is fixed. The problems that need to be resolved are closely related to the floating substrate effect. The soft error immunity in a floating body condition and the dynamic refresh characteristics were degraded by the instability of the floating body potential. Process and device approaches such as the field-shield-body-fixing method as well as circuit approaches like the BSG scheme are required to eliminate the floating substrate effects. From these investigations it can be said that a low-voltage DRAM with a current design rule would be possible if we pay close attention to the floating-substrate-related issues by optimizing various process/device and circuit techniques. With further development of the technology to suppress the floating substrate effects, it will be possible to develop simple and low-cost giga-bit level SOI DRAM's which use the SOI's inherent features to the full.

  • Improvement of Refresh Characteristics by SIMOX Technology for Giga-bit DRAMs

    Takaho TANIGAWA  Akira YOSHINO  Hiroki KOGA  Shuichi OHYA  

     
    PAPER-Dynamic RAMs

      Vol:
    E79-C No:6
      Page(s):
    781-786

    Stacked capacitor dynamic random access memory(DRAM) cells with both NMOS and PMOS cell transistors(Lg=0.4µm) were fabricated on ultra-thin SIMOX(separation by implantation of oxygen) substrates, and the data retention time was compared with that of a bulk counterpart. A DATA retention time of 550 sec(at 25 ) could be achieved using ultra-thin SIMOX substrates, which is 6 times longer than that using the bulk substrate. A stacked capacitor cell with a PMOS cell transistor on an ultra-thin SIMOX substrate is very attractive and promising for future giga-bit DRAM cells.

  • 3-Gb/s CMOS 1:4 MUX and DEMUX ICs

    Sadayuki YASUDA  Yusuke OHTOMO  Masayuki INO  Yuichi KADO  Toshiaki TSUCHIYA  

     
    PAPER

      Vol:
    E78-C No:12
      Page(s):
    1746-1753

    We have developed a design technique for static logic circuits. Using this technique, we designed 1/2 divider-type 1:4 demultiplexer (DEMUX) and 2:1 selector-type 4:1 multiplexer (MUX) circuits, each of which is a key component in high-speed data multiplexing and demultiplexing. These circuits consist of double rail flip-flops (DR F/F). These flip-flops have a smaller mean internal capacitance than single rail flip-flops, making them suitable for high-speed operation. The DR F/F has a symmetric structure, so the double rail toggle flip-flop can put out an exactly balanced CK/CKN signal, which boosts the speed of the data flip-flops. The double rail structure enables 30% faster operation but consumes only 17% more power (per GHz) than a single rail circuit. In addition, our 0.25-µm process technology provides a 70% higher frequency operation than 0.5-µm process technology. At the supply voltage of 2.2 V, the DEMUX circuit and the MUX circuit operate at 4.55 GHz and 2.98 GHz, respectively. In addition, the 0.25-µm DEMUX circuit and the MUX circuit respectively consume 6.0 mW/GHz and 13.7 mW/GHz (@1.3 V), which are only 12% of the power consumed by 3.3-V 0.5-µm circuits. Because of its high-speed and low-power characteristics, our design technique will greatly contribute to the progress of large-scale high-speed telecommunication systems.

  • Low-Voltage Operation of a High-Resistivity Load SOI SRAM Cell by Reduced Back-Gate-Bias Effect

    Yasuo YAMAGUCHI  Jun TAKAHASHI  Takehisa YAMAGUCHI  Tomohisa WADA  Toshiaki IWAMATSU  Hans-Oliver JOACHIM  Yasuo INOUE  Tadashi NISHIMURA  Natsuro TSUBOUCHI  

     
    PAPER

      Vol:
    E78-C No:7
      Page(s):
    812-817

    The stability of a high-resistivity load SRAM cell using thin-film SOI MOSFET's was investigated as compared with bulk-Si MOSFET's. In SOI MOSFET's back-gate-bias effect was suppressed by indirect application of back-gate-bias to the channel region through the thick buried oxide. The Vt shifts were reduced to be 10% and 14% of that in bulk-Si MOSFET's in partially and fully depleted devices, respectively. The reduction of back-gate-bias effect provides improvement of "high" output voltage and gain in the enhancement-enhancement (EE) inverter in a high-resistivity load SRAM cell, thereby offering improved cell stability. It was demonstrated by using partially depleted SOI SRAM cells that non-destructive reading was obtained even at a low drain voltage of 1.4 V without gate-potential boost, which was much smaller than the operation limit in a bulk Si SRAM with the same patterns and dimensions used as a reference. This implies that SOI devices can also offer low-voltage operation even in TFT-load cells used in up-to-date high-density SRAM's. These results suggest that thin-film SOI MOSFET's have a superior potential of low-voltage operation expected for further scaled devices and/or for portable systems in a forthcoming multimedia era.

  • Dependence of CMOS/SIMOX Inverter Delay Time on Gate Overlap Capacitance

    Takakuni DOUSEKI  Kazuo AOYAMA  Yasuhisa OMURA  

     
    PAPER-Electronic Circuits

      Vol:
    E76-C No:8
      Page(s):
    1325-1332

    This paper describes the dependence of the delay time of a CMOS/SIMOX inverter on the gate-overlap capacitance. An analytical delay-time equation for the CMOS/SIMOX inverter, which includes the gate-overlap capacitance, is derived. This equation shows that the feed-forward effect dominates the characteristics of inverters with a small fanout. The validity of the delay-time equation is confirmed by the comparison to experimental measurements of 0.4-µm CMOS/SIMOX devices. Moreover, a sensitivity analysis shows that it is very important to reduce the gate-drain overlap capacitance for fabricating high-speed scaled-down CMOS/SIMOX devices.

  • Process and Device Technologies of CMOS Devices for Low-Voltage Operation

    Masakazu KAKUMU  

     
    INVITED PAPER

      Vol:
    E76-C No:5
      Page(s):
    672-680

    Process and device technologies of CMOS devices for low-voltage operation are described. First, optimum power-supply voltage for CMOS devices is examined in detail from the viewpoints of circuit performance, device reliability and power dissipation. As a result, it is confirmed that power-supply voltage can be reduced without any speed loss of the CMOS device. Based upon theoretical understanding, the author suggests that lowering threshold voltage and reduction of junction capacitance are indispensable for CMOS devices with low-voltage supply, in order to improve the circuit performance, as expected from MOS device scaling. Process and device technologies such as Silicon On Insulator (SOI) device, low-temperature operation and CMOS Shallow Junction Well FET (CMOS-SJET) structure are reviewed for reduction of the threshold voltage and junction capacitance which lead to high-seed operation of the COMS device at low-voltage.

  • An Experimental Full-CMOS Multigigahertz PLL LSI Using 0.4-µm Gate Ultrathin-Film SIMOX Technology

    Yuichi KADO  Masao SUZUKI  Keiichi KOIKE  Yasuhisa OMURA  Katsutoshi IZUMI  

     
    PAPER-Device Technology

      Vol:
    E76-C No:4
      Page(s):
    562-571

    We designed and fabricated a prototype 0.4-µm-gate CMOS/SIMOX PLL LSI in order to verify the potential usefulness of ultrathin-film SIMOX technology for creating an extremely low-power LSI containing high-speed circuits operating at frequencies of at least 1 GHz and at low supply voltages. This PLL LSI contains both high-frequency components such a prescaler and low-frequency components such as a shift register, phase frequency comparator, and fixed divider. One application of the LSI could be for synthesizing communication band frequencies in the front-end of a battery-operated wireless handy terminal for personal communications. At a supply voltage of 2 V, this LSI operates at up to 2 GHz while dissipating only 8.4 mW. Even at only 1.2 V, 1 GHz-operation can be obtained with a power consumption of merely 1.4 mW. To explain this low-power feature, we extensively measured the electrical characteristics of individual CMOS/SIMOX basic circuits as well as transistors. Test results showed that the high performance of the LSI is mainly due to the advanced nature of the CMOS/SIMOX devices with low parasitic capacitances around source/drain regions and to the new circuit design techniques used in the dual-modulus prescalar.

  • SIMOX Wafers Having Low Dislocation Density Formed with a Substoichiometric Dose of Oxygen

    Sadao NAKASHIMA  Katsutoshi IZUMI  

     
    PAPER-SOI Wafers

      Vol:
    E75-C No:12
      Page(s):
    1415-1420

    The threading dislocation density and the structure of SIMOX wafers formed under different implantation conditions have been invenstigated using Secco etching, cross-sectional transmission electron microscopy and Raman spectroscopy. The breakdown voltage of the buried oxide layer has also been studied. The dislocation density is greatly affected by the dose and the wafer temperature during implantation. The SIMOX wafer implanted at 180 keV with a substoichiometric dose of 0.4 1018 O+ cm-2 at 550 and subsequently annealed at 1350 has an extremely low dislocation density on the order of 102 cm-2. The effect of the wafer temperature on the reduction of the dislocation density is discussed.

  • Hot-Carrier Reliability in Submicrometer Ultra-Thin SOI-MOSFET's

    Yasuo YAMAGUCHI  Masahiro SHIMIZU  Yasuo INOUE  Tadashi NISHIMURA  Katsuhiro TSUKAMOTO  

     
    PAPER-Hot Carrier

      Vol:
    E75-C No:12
      Page(s):
    1465-1470

    Hot-carrier characteristics in ultra-thin SOI MOSFET's (T-SOI MOSFET's) with gate-overlapped LDD have been investigated. The change in transistor static characteristics after hot carrier stress was mainly observed as positive threshold voltage (Vt) shifts due to trapped electrons, while in bulk-Si MOSFET's drain current degradation was dominant. The hot-carrier life time in T-SOI MOSFET's was comparable to that in bulk-Si devices at low drain voltage, but the life time dependence on drain voltage was different from that in bulk-Si MOSFET's, and the Vt degraded rapidly at the condition that parasitic bipolar breakdown began to occur. This implies that the drain supply voltage in T-SOI MOSFET's is determined directly by parasitic bipolar breakdown voltage unlike bulk-Si MOSFET's in which it is determined by hot-carrier reliability. The gate-overlapped LDD structure was compared with single drain structure and proved to provide better hot-carrier endurance by the improvement of the parasitic bipolar breakdown voltage. The hot-carrier reliability in the back channels of T-SOI MOSFET's was also investigated, and it was found that the back channel tends to be degraded more easily than front channel with large positive Vt shifts. These results suggest that the front Vt shifts in T-SOI devices are related with electron injection into the back surface of the T-SOI layer through charge coupling at the condition that the parasitic bipolar breakdown occurs.

1-20hit(22hit)