The search functionality is under construction.

Keyword Search Result

[Keyword] interconnect(320hit)

101-120hit(320hit)

  • Memory Allocation for Multi-Resolution Image Processing

    Yasuhiro KOBAYASHI  Masanori HARIYAMA  Michitaka KAMEYAMA  

     
    PAPER-VLSI Systems

      Vol:
    E91-D No:10
      Page(s):
    2386-2397

    Hierarchical approaches using multi-resolution images are well-known techniques to reduce the computational amount without degrading quality. One major issue in designing image processors is to design a memory system that supports parallel access with a simple interconnection network. The complexity of the interconnection network mainly depends on memory allocation; it maps pixels onto memory modules and determines the required number of memory modules. This paper presents a memory allocation method to minimize the number of memory modules for image processing using multi-resolution images. For efficient search, the proposed method exploits the regularity of window-type image processing. A practical example demonstrates that the number of memory modules is reduced to less than 14% that of conventional methods.

  • Accurate Modeling Method for Cu Interconnect

    Kenta YAMADA  Hiroshi KITAHARA  Yoshihiko ASAI  Hideo SAKAMOTO  Norio OKADA  Makoto YASUDA  Noriaki ODA  Michio SAKURAI  Masayuki HIROI  Toshiyuki TAKEWAKI  Sadayuki OHNISHI  Manabu IGUCHI  Hiroyasu MINDA  Mieko SUZUKI  

     
    PAPER-Semiconductor Materials and Devices

      Vol:
    E91-C No:6
      Page(s):
    968-977

    This paper proposes an accurate modeling method of the copper interconnect cross-section in which the width and thickness dependence on layout patterns and density caused by processes (CMP, etching, sputtering, lithography, and so on) are fully incorporated and universally expressed. In addition, we have developed specific test patterns for the model parameters extraction, and an efficient extraction flow. We have extracted the model parameters for 0.15 µm CMOS using this method and confirmed that 10% τpd error normally observed with conventional LPE (Layout Parameters Extraction) was completely dissolved. Moreover, it is verified that the model can be applied to more advanced technologies (90 nm, 65 nm and 55 nm CMOS). Since the interconnect delay variations due to the processes constitute a significant part of what have conventionally been treated as random variations, use of the proposed model could enable one to greatly narrow the guardbands required to guarantee a desired yield, thereby facilitating design closure.

  • A Multiprocessor SoC Architecture with Efficient Communication Infrastructure and Advanced Compiler Support for Easy Application Development

    Mohammad ZALFANY URFIANTO  Tsuyoshi ISSHIKI  Arif ULLAH KHAN  Dongju LI  Hiroaki KUNIEDA  

     
    PAPER-VLSI Design Technology and CAD

      Vol:
    E91-A No:4
      Page(s):
    1185-1196

    This paper presents a Multiprocessor System-on-Chips (MPSoC) architecture used as an execution platform for the new C-language based MPSoC design framework we are currently developing. The MPSoC architecture is based on an existing SoC platform with a commercial RISC core acting as the host CPU. We extend the existing SoC with a multiprocessor-array block that is used as the main engine to run parallel applications modeled in our design framework. Utilizing several optimizations provided by our compiler, an efficient inter-communication between processing elements with minimum overhead is implemented. A host-interface is designed to integrate the existing RISC core to the multiprocessor-array. The experimental results show that an efficacious integration is achieved, proving that the designed communication module can be used to efficiently incorporate off-the-shelf processors as a processing element for MPSoC architectures designed using our framework.

  • Novel Method of Interconnect Worstcase Establishment with Statistically-Based Approaches

    Won-Young JUNG  Hyungon KIM  Yong-Ju KIM  Jae-Kyung WEE  

     
    PAPER-VLSI Design Technology and CAD

      Vol:
    E91-A No:4
      Page(s):
    1177-1184

    In order for the interconnect effects due to process-induced variations to be applied to the designs in 0.13 µm and below, it is necessary to determine and characterize the realistic interconnect worstcase models with high accuracy and speed. This paper proposes new statistically-based approaches to the characterization of realistic interconnect worstcase models which take into account process-induced variations. The Effective Common Geometry (ECG) and Accumulated Maximum Probability (AMP) algorithms have been developed and implemented into the new statistical interconnect worstcase design environment. To verify this statistical interconnect worstcase design environment, the 31-stage ring oscillators are fabricated and measured with UMC 0.13 µm Logic process. The 15-stage ring oscillators are fabricated and measured with 0.18 µm standard CMOS process for investigating its flexibility in other technologies. The results show that the relative errors of the new method are less than 1.00%, which is two times more accurate than the conventional worstcase method. Furthermore, the new interconnect worstcase design environment improves optimization speed by 29.61-32.01% compared to that of the conventional worstcase optimization. The new statistical interconnect worstcase design environment accurately predicts the worstcase and bestcase corners of non-normal distribution where conventional methods cannot do well.

  • Statistical Corner Conditions of Interconnect Delay (Corner LPE Specifications)

    Kenta YAMADA  Noriaki ODA  

     
    PAPER

      Vol:
    E91-C No:4
      Page(s):
    562-570

    Timing closure in LSI design is becoming more and more difficult. But the conventional interconnect RC extraction method has over-margins caused by its corner conditions settings. In this paper, statistical corner conditions using the independence of variations between process parameters and between interconnect layers are proposed, with examinations using the measurement data. As a result of the method, the fast-to-slow guardband decreases by half in average, compared to the conventional method. The proposed method is ready for implementation to LPE tools.

  • LSI On-Chip Optical Interconnection with Si Nano-Photonics

    Junichi FUJIKATA  Kenichi NISHI  Akiko GOMYO  Jun USHIDA  Tsutomu ISHI  Hiroaki YUKAWA  Daisuke OKAMOTO  Masafumi NAKADA  Takanori SHIMIZU  Masao KINOSHITA  Koichi NOSE  Masayuki MIZUNO  Tai TSUCHIZAWA  Toshifumi WATANABE  Koji YAMADA  Seiichi ITABASHI  Keishi OHASHI  

     
    INVITED PAPER

      Vol:
    E91-C No:2
      Page(s):
    131-137

    LSI on-chip optical interconnections are discussed from the viewpoint of a comparison between optical and electrical interconnections. Based on a practical prediction of our optical device development, optical interconnects will have an advantage over electrical interconnects within a chip that has an interconnect length less than about 10 mm at the hp32-22 nm technology node. Fundamental optical devices and components used in interconnections have also been introduced that are small enough to be placed on top of a Si LSI and that can be fabricated using methods compatible with CMOS processes. A SiON waveguide showed a low propagation loss around 0.3 dB/cm at a wavelength of 850 nm, and excellent branching characteristics were achieved for MMI (multimode interference) branch structures. A Si nano-photodiode showed highly enhanced speed and efficiency with a surface plasmon antenna. By combining our Si nano-photonic devices with the advanced TIA-less optical clock distribution circuits, clock distribution above 10 GHz can be achieved with a small footprint on an LSI chip.

  • A Free Access Mat by Tightly Coupled Patch Array for Short Range Wireless Access

    Kunsun EOM  Hiroyuki ARAI  

     
    PAPER

      Vol:
    E90-C No:12
      Page(s):
    2254-2260

    This paper presents a free access mat consisting of tightly coupled double layered microstrip resonator array to provide an easy access for devices in short range wireless communications. While in a conventional wireless access system the electromagnetic wave is radiated from a device to another through the free space using built-in antennas, the proposed wireless access system uses the free access mat to propagate the wave and the proximate coupling between the waveguide and the devices. The propagation loss in the mat is small, which is demonstrated by numerical simulation for basic elements of the free access mat. We also demonstrate small transmission loss including the coupling loss between dipole antennas and the free access mat. Finally experimental confirmation for all demonstrated characteristics is provided so that the free access mat is effective as a novel waveguide for a short range wireless access systems.

  • Closed-Form Expressions for Crosstalk Noise and Worst-Case Delay on Capacitively Coupled Distributed RC Lines

    Hiroshi KAWAGUCHI  Danardono Dwi ANTONO  Takayasu SAKURAI  

     
    PAPER-Physical Design

      Vol:
    E90-A No:12
      Page(s):
    2669-2681

    Closed-form expressions for a crosstalk noise amplitude and worst-case delay in capacitively coupled two-line and three-line systems are derived assuming bus lines and other signal lines in a VLSI. Two modes are studied; a case that adjacent lines are driven from the same direction, and the other case that adjacent lines are driven from the opposite direction. Beside, a junction capacitance of a driver MOSFET is considered. The closed-form expressions are useful for circuit designers in an early stage of a VLSI design to give insight to interconnection problems. The expressions are extensively compared and fitted to SPICE simulations. The relative and absolute errors in the crosstalk noise amplitude are within 63.8% and 0.098 E (where E is a supply voltage), respectively. The relative error in the worst-case delay is less than 8.1%.

  • Stochastic Interconnect Tree Construction Algorithm with Accurate Delay and Power Consideration

    Yibo WANG  Yici CAI  Xianlong HONG  Yi ZOU  

     
    PAPER-VLSI Design Technology and CAD

      Vol:
    E90-A No:5
      Page(s):
    1028-1037

    Buffer insertion plays a great role in modern global interconnect optimization. But too many buffers exhaust routing resources, and result in the rise of the power dissipation. Unfortunately, simplified delay models used by most of the present buffer insertion algorithms may introduce redundant buffers due to the delay estimation errors, whereas accurate delay models expand the solution space significantly, resulting in unacceptable runtime. Moreover, the power dissipation problem becomes a dominant factor in the state-of-the-art IC design. Not only transistor but also interconnect should be taken into consideration in the power calculation, which makes us have to use an accurate power model to calculate the total power dissipation. In this paper, we present two stochastic optimization methods, simulated annealing and solution space smoothing, which use accurate delay and power models to construct buffered routing trees with considerations of buffer/wire sizing, routing obstacles and delay and power optimization. Experimental results show our methods can save much of the buffer area and the power dissipation with better solutions, and for the cases with pins ≤ 15, the runtime of solution space smoothing is tens of times faster.

  • Chip-Level Performance Maximization Using ASIS (Application-Specific Interconnect Structure) Wiring Design Concept for 45 nm CMOS Generation

    Noriaki ODA  Hironori IMURA  Naoyoshi KAWAHARA  Masayoshi TAGAMI  Hiroyuki KUNISHIMA  Shuji SONE  Sadayuki OHNISHI  Kenta YAMADA  Yumi KAKUHARA  Makoto SEKINE  Yoshihiro HAYASHI  Kazuyoshi UENO  

     
    PAPER-Device

      Vol:
    E90-C No:4
      Page(s):
    848-855

    A novel interconnect design concept named "ASIS (Appilication-specific Interconnect Structure)" is presented for 45 nm CMOS performance maximization. Basic scheme of ASIS is that corresponding to applications, such as high-performance, low-power, or high reliability, interconnect structure as well as metal thickness is individually optimized in order to maximize chip-level performance matched to the application. Our investigation shows that for low-power application, the increased resistivity of scaled-down Cu-wire is not a main issue, so that thinner wire is more advantageous. For high-performance application, partially double pitch structure for local and intermediate layers is advantageous. For high-reliability requirement, Cu-Al alloy or CoWP cap-metal is quite effective for boosting reliability.

  • Hamiltonian Cycles and Hamiltonian Paths in Faulty Burnt Pancake Graphs

    Keiichi KANEKO  

     
    PAPER-Algorithm Theory

      Vol:
    E90-D No:4
      Page(s):
    716-721

    Recently, research on parallel processing systems is very active, and many complex topologies have been proposed. A burnt pancake graph is one such topology. In this paper, we prove that a faulty burnt pancake graph with degree n has a fault-free Hamiltonian cycle if the number of the faulty elements is n-2 or less, and it has a fault-free Hamiltonian path between any pair of nonfaulty nodes if the number of the faulty elements is n-3 or less.

  • Quantitative Prediction of On-Chip Capacitive and Inductive Crosstalk Noise and Tradeoff between Wire Cross-Sectional Area and Inductive Crosstalk Effect

    Yasuhiro OGASAHARA  Masanori HASHIMOTO  Takao ONOYE  

     
    PAPER

      Vol:
    E90-A No:4
      Page(s):
    724-731

    Capacitive and inductive crosstalk noises are expected to be more serious in advanced technologies. However, capacitive and inductive crosstalk noises in the future have not been concurrently and sufficiently discussed quantitatively, though capacitive crosstalk noise has been intensively studied solely as a primary factor of interconnect delay variation. This paper quantitatively predicts the impact of capacitive and inductive crosstalk in prospective processes, and reveals that interconnect scaling strategies strongly affect relative dominance between capacitive and inductive coupling. Our prediction also makes the point that the interconnect resistance significantly influences both inductive coupling noise and propagation delay. We then evaluate a tradeoff between wire cross-sectional area and worst-case propagation delay focusing on inductive coupling noise, and show that an appropriate selection of wire cross-section can reduce delay uncertainty at the small sacrifice of propagation delay.

  • On the Equivalent of Structure Preserving Reductions Approach and Adjoint Networks Approach for VLSI Interconnect Reductions

    Ming-Hong LAI  Chia-Chi CHU  Wu-Shiung FENG  

     
    LETTER

      Vol:
    E90-A No:2
      Page(s):
    411-414

    Two versions of Krylov subspace order reduction techniques for VLSI interconnect reductions, including structure preserving reductions approach and adjoint networks approach, will be comparatively investigated. Also, we will propose a modified structure preserving reduction algorithm to speed up the projection construction in a linear order. The numerical experiment shows the high accuracy and low computational consumption of the modified method. In addition, it will be shown that the projection subspace generated from the structure-preserving approach and those from the adjoint networks approach are equivalent. Therefore, transfer functions of both reduced networks are identical.

  • Capacitance Extraction of Three-Dimensional Interconnects Using Element-by-Element Finite Element Method (EBE-FEM) and Preconditioned Conjugate Gradient (PCG) Technique

    Jianfeng XU  Hong LI  Wen-Yan YIN  Junfa MAO  Le-Wei LI  

     
    PAPER-Integrated Electronics

      Vol:
    E90-C No:1
      Page(s):
    179-188

    The element-by-element finite element method (EBE-FEM) combined with the preconditioned conjugate gradient (PCG) technique is employed in this paper to calculate the coupling capacitances of multi-level high-density three-dimensional interconnects (3DIs). All capacitive coupling 3DIs can be captured, with the effects of all geometric and physical parameters taken into account. It is numerically demonstrated that with this hybrid method in the extraction of capacitances, an effective and accurate convergent solution to the Laplace equation can be obtained, with less memory and CPU time required, as compared to the results obtained by using the commercial FEM software of either MAXWELL 3D or ANSYS.

  • Rearrangeability of Tandem Cascade of Banyan-Type Networks

    Xuesong TAN  Shuo-Yen Robert LI  

     
    PAPER-Rearrangeable Network

      Vol:
    E90-D No:1
      Page(s):
    67-74

    The cascade of two baseline networks in tandem is a rearrangeable network. The cascade of two omega networks appended with a certain interconnection pattern is also rearrangeable. These belong to the general problem: for what banyan-type network (i.e., bit-permuting unique-routing network) is the tandem cascade a rearrangeable network? We relate the problem to the trace and guide of banyan-type networks. Let τ denote the trace permutation of a 2n2n banyan-type network and γ the guide permutation of it. This paper proves that rearrangeability of the tandem cascade of the network is solely determined by the transposition τγ-1. Such a permutation is said to be tandem rearrangeable when the tandem cascade is indeed rearrangeable. We identify a few tandem rearrangeable permutations, each implying the rearrangeability of the tandem cascade of a wide class of banyan-type networks.

  • Impact of Intrinsic Parasitic Extraction Errors on Timing and Noise Estimation

    Toshiki KANAMOTO  Shigekiyo AKUTSU  Tamiyo NAKABAYASHI  Takahiro ICHINOMIYA  Koutaro HACHIYA  Atsushi KUROKAWA  Hiroshi ISHIKAWA  Sakae MUROMOTO  Hiroyuki KOBAYASHI  Masanori HASHIMOTO  

     
    LETTER-Interconnect

      Vol:
    E89-A No:12
      Page(s):
    3666-3670

    In this letter, we discuss the impact of intrinsic error in parasitic capacitance extraction programs which are commonly used in today's SoC design flows. Most of the extraction programs use pattern-matching methods which introduces an improvable error factor due to the pattern interpolation, and an intrinsically inescapable error factor from the difference of boundary conditions in the electro-magnetic field solver. Here, we study impact of the intrinsic error on timing and crosstalk noise estimation. We experimentally show that the resulting delay and noise estimation errors show a scatter which is normally distributed. Values of the standard deviations will help designers consider the intrinsic error compared with other variation factors.

  • Si-Substrate Modeling toward Substrate-Aware Interconnect Resistance and Inductance Extraction in SoC Design

    Toshiki KANAMOTO  Tatsuhiko IKEDA  Akira TSUCHIYA  Hidetoshi ONODERA  Masanori HASHIMOTO  

     
    PAPER-Interconnect

      Vol:
    E89-A No:12
      Page(s):
    3560-3568

    This paper proposes a simple yet sufficient Si-substrate modeling for interconnect resistance and inductance extraction. The proposed modeling expresses Si-substrate as four filaments in a filament-based extractor. Although the number of filaments is small, extracted loop inductances and resistances show accurate frequency dependence resulting from the proximity effect. We experimentally prove the accuracy using FEM (Finite Element Method) based simulations of electromagnetic fields. We also show a method to determine optimal size of the four filaments. The proposed model realizes substrate-aware extraction in SoC design flow.

  • Simple Waveform Model of Inductive Interconnects by Delayed Quadratic Transfer Function with Application to Scaling Trend of Inductive Effects in VLSI's

    Danardono Dwi ANTONO  Kenichi INAGAKI  Hiroshi KAWAGUCHI  Takayasu SAKURAI  

     
    PAPER-Interconnect

      Vol:
    E89-A No:12
      Page(s):
    3569-3578

    A simple analytical model based on Delayed Quadratic (DQ) Transfer Function approximation is proposed for estimating waveforms of inductive single-line interconnects in VLSI's. An expression for overshoot voltage is derived by the model within 17% error for the line width less than 10 times the minimum line width and typical input signal. A delay expression is also proposed within 15% for the same condition. The strength of the inductive effect is shown to be expressed by a closed-form expression, A=2(L(CT+0.5C))1/2/(RT(CT+CJ)+RTC+RCT+0.4RC). By using the criteria, a scaling trend of inductive effects in VLSI's is discussed. It is shown that the inductive effect of single-line, minimum-width VLSI interconnect peaks off at 90 nm based on the ITRS predicted parameters.

  • A Cost Effective Interconnection Network for Reconfigurable Computing Processor in Digital Signal Processing Applications

    Yeong-Kang LAI  Lien-Fei CHEN  Jian-Chou CHEN  Chun-Wei CHIU  

     
    LETTER

      Vol:
    E89-C No:11
      Page(s):
    1674-1675

    In this paper, a novel cost effective interconnection network for two-way pipelined SIMD-based reconfigurable computing processor is proposed. Our reconfigurable computing engine is composed of the SIMD-based function units, flexible interconnection networks, and two-bank on-chip memories. In order to connect the function units, the reconfigurable network is proposed to connect all neighbors of each function unit. The proposed interconnection network is a kind of full and bidirectional connection with the data duplication to perform the data-parallelism applications efficiently. Moreover, it is a multistage network to accomplish the high flexibility and low hardware cost.

  • Carbon Nanotube Technologies for LSI via Interconnects

    Yuji AWANO  

     
    INVITED PAPER

      Vol:
    E89-C No:11
      Page(s):
    1499-1503

    Carbon nanotubes (CNTs) offer unique properties such as highest current density exceeding 109 A/cm2, ultra-high thermal conductivity as high as that of diamond, ballistic transport along the tube and extremely high mechanical strength with high aspect ratio of more than 1000. Because of these remarkable properties, they have been expected for use as future wiring materials to solve several problems, for examples, stress and electro-migration, heat removal and fabrication of a small-sized via in future LSIs. In this paper, we demonstrate present status of CNT material technologies and the potential of metallic CNT vias. In particular, we report our original catalytic nano-particle technique for controlling the diameter and density of CNTs. We have succeeded in forming a 40-nm via with the CNT density of 91011/cm2, which is the highest density ever reported. The low temperature CVD growth and the electrical properties of CNT vias are also discussed.

101-120hit(320hit)