The search functionality is under construction.
The search functionality is under construction.

Keyword Search Result

[Keyword] DR(1315hit)

1161-1180hit(1315hit)

  • A 250 mV Bit-Line Swing Scheme for 1-V Operating Gigabit Scale DRAMs

    Tsuneo INABA  Daisaburo TAKASHIMA  Yukihito OOWAKI  Tohru OZAKI  Shigeyoshi WATANABE  Takashi OHSAWA  Kazunori OHUCHI  Hiroyuki TANGO  

     
    PAPER

      Vol:
    E79-C No:12
      Page(s):
    1699-1706

    This paper proposes a small 1/4Vcc bit-line swing scheme and a related sense amplifier scheme for low power 1 V operating DRAM. Using the proposed small bit-line swing scheme, the stress bias of memory cell transistor and capacitor is reduced to half that of the conventional DRAM, resulting in improvement of device reliability. The proposed sense amplifier scheme achieves high speed and stable sensing/restoring operation at 250mV bit-line swing, which is much smaller than threshold voltage. The proposed scheme reduces the total power dissipation of bit-line sensing/restoring operation to 40% of the conventional one. This paper also proposes a small 4F2 size memory cell and a new twisted bit-line scheme. The array noise is reduced to 8.6% of the conventional DRAM.

  • Plate Bumping Leakage Current Measurement Method and Its Application to Data Retention Characteristic Analysis for RJB DRAM Cells

    Toru IWATA  Hiroyuki YAMAUCHI  

     
    PAPER

      Vol:
    E79-C No:12
      Page(s):
    1707-1712

    To evaluate DRAM memory-cell data retention characteristics, measuring the leakage current of the individual memory-cell is important. However, the leakage current of a DRAM memory-cell cannot be measured directly, because its value is on the order of femtoamperes. This paper describes a Plate Bumping (PB) method that can measure the leakage current of a specific memory-cell using the relationship between the shifted value of memory-cell-plate potential and the retention period. By using the PB method, it can be confirmed that the leakage current of the short-retention cell (bad cell) depends on its storage-node potential. With regards to cells with "0" data stored in them ("0" cells), it appears that the relaxed junction biasing (RJB) scheme which can extend refresh interval increases the number of misread "0" cells due to the lowering of the sense amplifier's sensing threshold.

  • A Temperature-Insensitive Current Controlled CMOS Output Driver

    Cheol-Hee LEE  Jae-Yoon SIM  Hong-June PARK  

     
    PAPER-Electronic Circuits

      Vol:
    E79-C No:12
      Page(s):
    1726-1732

    A current controlled CMOS output driver was designed by using a temperature-insensitive reference current generator. It eliminates the need for overdesign of the driver transistor size to meet the delay specification at high temperature. Comparison with the conventional CMOS output driver with the same transistor size showed that the ground bounce noise was reduced by 2.5 times and the delay time was increased by 1.4 times, at 25 for 50pF load. The temperature variations of the DC pull-up and pull-down currents of the new output driver were 4% within the temperature range from -15 to 125 compared to the variations of 40 and 60% for pull-up and pull-down respectively for the conventional output driver. The temperature insensitivity of the reference current generator was achieved by multiplying two current components. one which is proportional to mobility and the other which is inversely proportional to mobility, by using a CMOS square root circuit. The temperature variation of the DC output current of the reference current generator alone was 0.77% within the entire temperature range from -15 to 125.

  • Hiding Data Cache Latency with Load Address Prediction

    Toshinori SATO  Hiroshige FUJII  Seigo SUZUKI  

     
    PAPER-Computer Systems

      Vol:
    E79-D No:11
      Page(s):
    1523-1532

    A new prediction method for the effective address is presented. This method works with the buffer named the address prediction buffer, and allows the data cache to be accessed speculatively. As a consequence of the trend toward increasing clock frequency, the internal cache is no longer able to fill the speed gap between the processor and the external memory, and the data cache latency degrades the processor performance. In order to hide this latency, the prediction method is proposed. By this method, the load address is predicted, and the data is fetched earlier than the memory access stage. In the case that the prediction is correct, the latency is hidden. Even if the prediction is incorrect, the performance is not degraded by any miss penalties. We have found that the prediction accuracy is 81.9% on average, and thus the performance is improved by 6.6% on average and a maximum of 12.1% for the integer programs.

  • On the Structure of an SST Viterbi Decoder for General Rate (n-1)/n Convolutional Codes Viewed in the Light of Syndrome Decoding

    Masato TAJIMA  

     
    LETTER-Coding Theory

      Vol:
    E79-A No:9
      Page(s):
    1447-1449

    The structure of an SST Viterbi decoder for general rate (n-1)/n convolutional codes is investigated in the light of syndrome decoding. Since the input to the main decoder is expressed as S(H-1)T (S: syndrome, H: dual encoder of G) for a general non-systematic convolutional code G if the inverse encoder G-1 is used as a pre-decoder, SST Viterbi decoding can be regarded as searching for the most likely error sequence through an extended syndrome trellis. We show that searching based on the extended syndrome trellis is equivalent to the original syndrome decoding by applying the invariant-factor theorem.

  • 60-GHz Virtual Common-Drain-Biased Oscillator Design Using an Empirical HEMT Model

    Kazuo SHIRAKAWA  Yoshihiro KAWASAKI  Masahiko SHIMIZU  Yoji OHASHI  Tamio SAITO  Naofumi OKUBO  Yashimasa DAIDO  

     
    PAPER-Microwave and Millimeter Wave Technology

      Vol:
    E79-C No:8
      Page(s):
    1144-1151

    We studied a 0.15-µm InGaP/InGaAs/GaAs pseudomorphic HEMT operating under a negative drain bias, using a parameter extraction technique based on an analytical parameter transformation. The bias-dependent data of smallsignal equivalent circuit elements was obtained from Sparameters measured at up to 62.5 GHz at various bias settings. We then described the intrinsic part of the device using a new empirical large-signal model in which charge conservation and dispersion effects were taken into consideration. As far as we know, this is the first report to clarify the behavior of a HEMT operating under negative drain bias. We included our largesignal model in a commercially-available harmonic-balance simulator as a user-defined model, and designed a 60 GHz MMIC oscillator. The fabricated oscillator's characteristics agreed well with the design calculations.

  • DSP Code Optimization Utilizing Memory Addressing Operation

    Nobuhiko SUGINO  Satoshi IIMURO  Akinori NISHIHARA  Nobuo FUJII  

     
    PAPER

      Vol:
    E79-A No:8
      Page(s):
    1217-1224

    In this paper, DSPs, of which memory addresses are pointed by special purpose registers (address registers: ARs), are assumed, and methods to derive an efficient memory access pattern for those DSPs proposed. In such DSPs, programmers must take care for efficient allocation of memory space as well as effective use of registers, in order to derive an efficient program in the sense of execution period. In this paper, memory addresses and AR update operations are modeled by an access graph, and a novel memory allocation method is presented. This method removes cycles and forks in a given access graph, and decides an address location of variables in memory space with less overhead. In order to utileze multiple ARs, methods to assign variables into ARs are investigated. The proposed methods are applied to the compiler for DSP56000 and are proved to be effective by generated codes for several examples.

  • A Fast Timing Recovery Method with a Decision Feedback Equalizer for Baudrate Sampling

    Akihiko SUGIYAMA  Tomokazu ITO  

     
    PAPER-Digital Signal Processing

      Vol:
    E79-A No:8
      Page(s):
    1267-1273

    This paper proposes a fast timing recovery method with a decision feedback equalizer for baudrate sampling. The proposed method features two special techniques. The first one is for coarse estimation of the sampling phase. Internal signals of the oversampled analog-to-digital converter at different phases are directly taken out for parallel evaluation. The second technique provides fine tuning with a phase-modification stepsize which is adaptively controlled by the residual intersymbol interference. Simulation results by a full-duplex digital transmission system with a multilevel line code show superiority of the proposed method. The coarse timing estimation and the fine tuning reduce 75% and 40% of the time required by the conventional method,respectively. The overall saving in timing recovery is almost 60% over the conventional method. The proposed method could easily be extended to other applications with a decision feedback equalizer.

  • Characteristics of a-Si Thin-Film Transistors with an Inorganic Black Matrix on the Top

    Yoshimine KATO  Yuki MIYOSHI  Masakazu ATSUMI  Yoshimasa KAIDA  Steven L. WRIGHT  Lauren F. PALMATEER  

     
    PAPER

      Vol:
    E79-C No:8
      Page(s):
    1091-1096

    The characteristics of a-Si bottom-gate TFT test devices with several kinds of inorganic "quasi-black matrix," such as metal, semiconductor, and insulator, on the top were investigated for various black matrix(BM) resistivities. In the Ia-Vg characteristics, for a BM sheet resistance of about1 1012 Ω/, a high off current and large Vth shift were observed due to the back-gating effects when the BM is charged up. Accrding to the ac dynamic characteristics, there was almost no leakage due to the capacitive coupling between source and drain after 16.6 msec(one frame) when the BM sheet resistance was above 7 1013 Ω/ . It was found that hydrogenated amorphous silicon germanium(a-SiGe:H) film, which has enough optical density, with the sheet resistance above the order of 1014 Ω/ is a promising candidate for an inorganic BM on TFT array.

  • Low Power Multi-Media TFT-LCD Using Multi-Field Driving Method

    Haruhiko OKUMURA  Goh ITOH  Kouhei SUZUKI  Kouji SUZUKI  

     
    LETTER

      Vol:
    E79-C No:8
      Page(s):
    1109-1111

    We have proposed a concept of low power drive system for a multi-media TFT-LCD using MFD in which a displayed image is divided into some interlaced subfield images and the number of interlaced subfields can be changed depending on the moving quantities of displayed images. This method has been applied to a 9.5" TFT-LCD and successful operation has been confirmed without moving image degradation.

  • An Incoherent Direct-Conversion Receiver with a Full Digital Logic FSK Demodulator

    Sang Yun LEE  Chan Geun YOON  Choong Woong LEE  

     
    LETTER-Communication Systems and Transmission Equipment

      Vol:
    E79-B No:7
      Page(s):
    978-981

    A direct-conversion receiver with a full digital logic FSK demodulator is presented. It is developed from the quadricorrelator which is known as a frequency detector. We show that the performance of the receiver converges to that of the analog quadricorrelator receiver as the number of mixing axes increases, and obtain the optimum filter bandwidth by computer simulation.

  • Features of SOI DRAM's and their Potential for Low-Voltage and/or Giga-Bit Scale DRAM's

    Yasuo YAMAGUCHI  Toshiyuki OASHI  Takahisa EIMORI  Toshiaki IWAMATSU  Shouichi MITAMOTO  Katsuhiro SUMA  Takahiro TSURUDA  Fukashi MORISHITA  Masakazu HIROSE  Hideto HIDAKA  Kazutami ARIMOTO  Kazuyasu FUJISHIMA  Yasuo INOUE  Tadashi NISHIMURA  Hirokazu MIYOSHI  

     
    INVITED PAPER-Dynamic RAMs

      Vol:
    E79-C No:6
      Page(s):
    772-780

    SOI DRAM's are candidates for giga-bit scale DRAM's due to the inherent features of SOI structure, and are also desired to be used as low-voltage memories which will be used in portable systems in the forthcoming multimedia era. However, some drawbacks are also anticipated owing to floating substrate effects. In this report, the advantages and problems concerning SOI DRAM's were reconsidered by evaluation of our test devices and also by analysis with device and circuit simulators for their future prospects. The following advantages of SOI DRAM's were verified. Low-voltage operation, active current reduction and speed gain were obtained by the reduced junction capacitance and the back-gate-bias effect. Static refresh characteristics were improved due to the reduced junction area. Soft error immunity was improved greatly by the complete isolation of the active region when the body potential is fixed. The problems that need to be resolved are closely related to the floating substrate effect. The soft error immunity in a floating body condition and the dynamic refresh characteristics were degraded by the instability of the floating body potential. Process and device approaches such as the field-shield-body-fixing method as well as circuit approaches like the BSG scheme are required to eliminate the floating substrate effects. From these investigations it can be said that a low-voltage DRAM with a current design rule would be possible if we pay close attention to the floating-substrate-related issues by optimizing various process/device and circuit techniques. With further development of the technology to suppress the floating substrate effects, it will be possible to develop simple and low-cost giga-bit level SOI DRAM's which use the SOI's inherent features to the full.

  • Radar Reflectivity and Rainfall Rate Relation from Weibull Raindrop-Size Distribution

    Hua JIANG  Motoaki SANO  Matsuo SEKINE  

     
    PAPER

      Vol:
    E79-B No:6
      Page(s):
    797-800

    We have compared the various raindrop-size distributions (DSD) with the recent experimental data collected by the distrometer. It is shown that the Weibull distribution is the best fit to the experimental data for drizzle, widespread and thunderstorm rain cases. By using this Weibull DSD, we obtained a new expression of the radar reflectivity factor (Z) and the rainfall rate (R) relation, that is Z=285R1.48, which gives few errors comparing to some measurements in TRMM frequency of 14GHZ.

  • Adaptive Determination of Maximum Diameter of Rain drops from ZDR

    Yuji OHSAKI  Kenji NAKAMURA  

     
    PAPER

      Vol:
    E79-B No:6
      Page(s):
    793-796

    A maximum diameter (Dmax) of raindrop should be assumed when rainfall rate (R) is estimates from the differential reflectivity (ZDR) and the horizontal reflectivity (ZH) measured with dual-polarization radar. If the assumed Dmax is different from actual Dmax, the estimated R contains errors. Using distrometer data, it was found that ZDR correlates with Dmax, and it was verified that when Dmax is adaptively determined by an empirical relationship between ZDR and Dmax, errors in estimated R can be reduced.

  • Improvement of Refresh Characteristics by SIMOX Technology for Giga-bit DRAMs

    Takaho TANIGAWA  Akira YOSHINO  Hiroki KOGA  Shuichi OHYA  

     
    PAPER-Dynamic RAMs

      Vol:
    E79-C No:6
      Page(s):
    781-786

    Stacked capacitor dynamic random access memory(DRAM) cells with both NMOS and PMOS cell transistors(Lg=0.4µm) were fabricated on ultra-thin SIMOX(separation by implantation of oxygen) substrates, and the data retention time was compared with that of a bulk counterpart. A DATA retention time of 550 sec(at 25 ) could be achieved using ultra-thin SIMOX substrates, which is 6 times longer than that using the bulk substrate. A stacked capacitor cell with a PMOS cell transistor on an ultra-thin SIMOX substrate is very attractive and promising for future giga-bit DRAM cells.

  • A Crossing Charge Recycle Refresh Scheme with a Separated Driver Sense-Amplifier for Gb DRAMs

    Isao NARITAKE  Tadahiko SUGIBAYASHI  Satoshi UTSUGI  Tatsunori MUROTANI  

     
    PAPER-Dynamic RAMs

      Vol:
    E79-C No:6
      Page(s):
    787-791

    A crossing charge recycle refresh(CCRR) scheme and a serial charge recycle refresh(SCRR) scheme are proposed for the large capacity DRAMs with hierarchical bit-line architecture to reduce main bit-line charging current. A separated driver sense-amplifier(SDSA) circuit is essential to realize this scheme because it features 11 times shorter charge transfer period than that of conventional sense-amplifiers. These circuits are applied to an experimental 1-Gb DRAM, which achieves reduction of main bit-line charging current to 37.5%.

  • NAND-Structured DRAM Cell with Lithography-Oriented Design

    Masami AOKI  Tohru OZAKI  Takashi YAMADA  Takeshi HAMAMOTO  

     
    PAPER-Dynamic RAMs

      Vol:
    E79-C No:6
      Page(s):
    792-797

    A 0.96µm2 NAND-structured stacked capacitor cell has been achieved using conventional i-line photolithography and a 0.4µm design rule. Memory cell patterns for critical levels have been designed with a simple lineand-space configuration and a completely repeated hole arrangement for large lithography process margin. The word-line pitch and bit-line pitch are 0.9µm and0.95µm, respectively. In order to obtain sufficient storage capacitance and large alignment margin, a self-aligned cylindrical stacked capacitor and bit line plug fabrication process has been developed. These new technologies have enabled storage capacitance of 15 fF/cell with a 0.5µm capacitor height and a 5 nm equivalent SiO2 film thickness for nitride-top oxide(NO) film in the bit-line over capacitor(BOC) structure. Due to its lithography-oriented cell design and self-aligned process procedure, the present cell is a promising candidate for 256 Mb DRAM and beyond.

  • Digital Delay Locked Loop and Design Technique for High-Speed Synchronous Interface

    Yoshinori OKAJIMA  Masao TAGUCHI  Miki YANAGAWA  Koichi NISHIMURA  Osamu HAMADA  

     
    PAPER-Dynamic RAMs

      Vol:
    E79-C No:6
      Page(s):
    798-807

    We report two new timing control methods for high-speed synchronous interfaces in view of their application to high-speed synchronous DRAMs. These two new circuits are the measure-controlled DLL and the register-controlled DLL.We quantitatively analyzed the minimum operational cycle time for a synchronous interface, and related the minimum bus cycle time to two factors; the bus-to-clock timing skew, and the unit delay time of the DLL. Based on this analysis, we concluded that the I/O performance can be beyond 400 MHz by suppressing both factors to less than 200 ps.

  • A Recognition Method of Facility Drawings and Street Maps Utilizing the Facility Management Database

    Chikahito NAKAJIMA  Toshihiro YAZAWA  

     
    PAPER-Document Recognition and Analysis

      Vol:
    E79-D No:5
      Page(s):
    555-560

    This paper proposes a new approach for inputting handwritten Distribution Facility Drawings (DFD) and their maps into a computer automatically by using the Facility Management Database (FMD). Our recognition method makes use of external information for drawing/map recognition. It identifies each electric-pole symbol and support cable symbol on drawings simply by consulting the FMD. Other symbols such as transformers and electric wires can be placed on drawings automatically. In this positioning of graphic symbols, we present an automatic adjustment method of a symbol's position on the latest digital maps. When a contradiction is unsolved due to an inconsistency between the content of the DFD and the FMD, the system requests a manual feedback from the operator. Furthermore, it uses the distribution network of the DFD to recognize the street lines on the maps which aren't computerized. This can drastically reduce the cost for computerizing drawings and maps.

  • Trends in High-Speed DRAM Architectures

    Masaki KUMANOYA  Toshiyuki OGAWA  Yasuhiro KONISHI  Katsumi DOSAKA  Kazuhiro SHIMOTORI  

     
    INVITED PAPER

      Vol:
    E79-C No:4
      Page(s):
    472-481

    Various kinds of new architectures have been proposed to enhance operating performance of the DRAM. This paper reviews these architectures including EDO, SDRAM, RDRAM, EDRAM, and CDRAM. The EDO slightly modifies the output control of the conventional DRAM architecture. Other innovative architectures try to enhance the performance by taking advantage of DRAM's internal multiple bits architecture with internal pipeline, parallel-serial conversion, or static buffers/on-chip cache. A quantitative analysis based on an assumption of wait cycles was made to compare PC system performance with some architectures. The calculation indicated the effectiveness of external or on-chip cache. Future trends cover high-speed I/O interface, unified memory architecture, and system integrated memory. The interface includes limited I/O swing such as HSTL and SSTL to realize more than 100MHz operation. Also, Ramlink and SyncLink are briefly reviewed as candidates for next generation interface. Unified memory architecture attempts to save total memory capacity by combining graphics and main memory. Advanced device technology enables system integration which combine system logic and memory. It suggests one potential direction towards system on a chip in the future.

1161-1180hit(1315hit)